Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

"I don't think so," said Ren'e Descartes. Just then, he vanished.


devel / comp.arch.fpga / Re: How to increase data of std_logic_vector by 1 in VHDL-2002

SubjectAuthor
* How to increase data of std_logic_vector by 1 in VHDL-2002W TX
+- Re: How to increase data of std_logic_vector by 1 in VHDL-2002andrew_b
`* Re: How to increase data of std_logic_vector by 1 in VHDL-2002andrew_b
 `* Re: How to increase data of std_logic_vector by 1 in VHDL-2002W TX
  `* Re: How to increase data of std_logic_vector by 1 in VHDL-2002Tianxiang Weng
   +* Re: How to increase data of std_logic_vector by 1 in VHDL-2002Tianxiang Weng
   |`- Re: How to increase data of std_logic_vector by 1 in VHDL-2002Tianxiang Weng
   `* Re: How to increase data of std_logic_vector by 1 in VHDL-2002Anssi Saari
    `- Re: How to increase data of std_logic_vector by 1 in VHDL-2002Tianxiang Weng

1
How to increase data of std_logic_vector by 1 in VHDL-2002

<b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=124&group=comp.arch.fpga#124

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a05:6214:1a0a:: with SMTP id fh10mr28052750qvb.58.1621830393936;
Sun, 23 May 2021 21:26:33 -0700 (PDT)
X-Received: by 2002:a0c:ab88:: with SMTP id j8mr28353024qvb.23.1621830393797;
Sun, 23 May 2021 21:26:33 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sun, 23 May 2021 21:26:33 -0700 (PDT)
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:392e:6da4:86f1:31d8;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:392e:6da4:86f1:31d8
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
Subject: How to increase data of std_logic_vector by 1 in VHDL-2002
From: wtx...@gmail.com (W TX)
Injection-Date: Mon, 24 May 2021 04:26:33 +0000
Content-Type: text/plain; charset="UTF-8"
 by: W TX - Mon, 24 May 2021 04:26 UTC

Hi,
It is a long time headache for me to increase a data of std_logic_vector by 1.

Here are examples:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;

constant ONE : std_logic_vector(7 downto 0);
signal Series_Number : std_logic_vector(7 downto 0);
....
All followings generate errors in VHDL-2002:
Series_Number <= Series_Number +1;
Series_Number <= Series_Number +'1';
Series_Number <= Series_Number+std_logic_vector(unsigned(Series_Number)+1);
Series_Number <= Series_Number+ONE;

Thank you.

Weng

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<859dbf6e-a38c-4af5-be0f-1c1ea6e858a2n@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=125&group=comp.arch.fpga#125

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ae9:eb93:: with SMTP id b141mr28022738qkg.151.1621833624728; Sun, 23 May 2021 22:20:24 -0700 (PDT)
X-Received: by 2002:a0c:8e01:: with SMTP id v1mr27308721qvb.35.1621833624540; Sun, 23 May 2021 22:20:24 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!feeder1.feed.usenet.farm!feed.usenet.farm!tr2.eu1.usenetexpress.com!feeder.usenetexpress.com!tr3.iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sun, 23 May 2021 22:20:24 -0700 (PDT)
In-Reply-To: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=176.59.66.76; posting-account=n7aJ0QoAAAAM9S9cFo4hml0ohYBnwXyL
NNTP-Posting-Host: 176.59.66.76
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <859dbf6e-a38c-4af5-be0f-1c1ea6e858a2n@googlegroups.com>
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
From: andrew.b...@gmail.com (andrew_b)
Injection-Date: Mon, 24 May 2021 05:20:24 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 1
 by: andrew_b - Mon, 24 May 2021 05:20 UTC

> Series_Number <= Series_Number+std_logic_vector(unsigned(Series_Number)+1);
> Series_Number <= std_logic_vector (unsigned (Series_Number) + 1);

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=126&group=comp.arch.fpga#126

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ac8:7f10:: with SMTP id f16mr25140235qtk.340.1621833740811;
Sun, 23 May 2021 22:22:20 -0700 (PDT)
X-Received: by 2002:a05:620a:448e:: with SMTP id x14mr27382452qkp.10.1621833740706;
Sun, 23 May 2021 22:22:20 -0700 (PDT)
Path: i2pn2.org!i2pn.org!paganini.bofh.team!usenet.pasdenom.info!usenet-fr.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sun, 23 May 2021 22:22:20 -0700 (PDT)
In-Reply-To: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=176.59.66.76; posting-account=n7aJ0QoAAAAM9S9cFo4hml0ohYBnwXyL
NNTP-Posting-Host: 176.59.66.76
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com>
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
From: andrew.b...@gmail.com (andrew_b)
Injection-Date: Mon, 24 May 2021 05:22:20 +0000
Content-Type: text/plain; charset="UTF-8"
 by: andrew_b - Mon, 24 May 2021 05:22 UTC

Series_Number <= std_logic_vector (unsigned (Series_Number) + 1);

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=127&group=comp.arch.fpga#127

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a05:622a:1045:: with SMTP id f5mr25872291qte.392.1621850426030;
Mon, 24 May 2021 03:00:26 -0700 (PDT)
X-Received: by 2002:a05:620a:448e:: with SMTP id x14mr28414682qkp.10.1621850425880;
Mon, 24 May 2021 03:00:25 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!news.mixmin.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Mon, 24 May 2021 03:00:25 -0700 (PDT)
In-Reply-To: <b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:204f:97e1:eb03:6ac6;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:204f:97e1:eb03:6ac6
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com> <b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
From: wtx...@gmail.com (W TX)
Injection-Date: Mon, 24 May 2021 10:00:26 +0000
Content-Type: text/plain; charset="UTF-8"
 by: W TX - Mon, 24 May 2021 10:00 UTC

On Sunday, May 23, 2021 at 10:22:23 PM UTC-7, andrew_b wrote:
> Series_Number <= std_logic_vector (unsigned (Series_Number) + 1);

andrew_b, thank you!!!

Weng

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<85abf235-220e-41fe-a264-4b5bad090766n@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=183&group=comp.arch.fpga#183

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ac8:5c8c:: with SMTP id r12mr2738874qta.265.1624357095641;
Tue, 22 Jun 2021 03:18:15 -0700 (PDT)
X-Received: by 2002:ac8:5885:: with SMTP id t5mr2820788qta.378.1624357095496;
Tue, 22 Jun 2021 03:18:15 -0700 (PDT)
Path: i2pn2.org!i2pn.org!paganini.bofh.team!usenet.pasdenom.info!usenet-fr.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Tue, 22 Jun 2021 03:18:15 -0700 (PDT)
In-Reply-To: <5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:98c2:3c82:6f17:bb0c;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:98c2:3c82:6f17:bb0c
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
<b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com> <5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <85abf235-220e-41fe-a264-4b5bad090766n@googlegroups.com>
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Tue, 22 Jun 2021 10:18:15 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Tianxiang Weng - Tue, 22 Jun 2021 10:18 UTC

On Monday, May 24, 2021 at 3:00:28 AM UTC-7, Tianxiang Weng wrote:
> On Sunday, May 23, 2021 at 10:22:23 PM UTC-7, andrew_b wrote:
> > Series_Number <= std_logic_vector (unsigned (Series_Number) + 1);
> andrew_b, thank you!!!
>
> Weng

Hi,
I now ha another similar problem: how to change an integer to a std_logic_vector.

Here is a code snippet:
signal X :integer range 0 to 15;
signal Y :

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<20e67c9d-b445-4a38-8f4d-95acd957a519n@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=184&group=comp.arch.fpga#184

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ac8:7446:: with SMTP id h6mr2813748qtr.272.1624357657916;
Tue, 22 Jun 2021 03:27:37 -0700 (PDT)
X-Received: by 2002:ac8:7f88:: with SMTP id z8mr2834782qtj.77.1624357657765;
Tue, 22 Jun 2021 03:27:37 -0700 (PDT)
Path: i2pn2.org!i2pn.org!paganini.bofh.team!usenet.pasdenom.info!usenet-fr.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Tue, 22 Jun 2021 03:27:37 -0700 (PDT)
In-Reply-To: <85abf235-220e-41fe-a264-4b5bad090766n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:98c2:3c82:6f17:bb0c;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:98c2:3c82:6f17:bb0c
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
<b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com> <5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>
<85abf235-220e-41fe-a264-4b5bad090766n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <20e67c9d-b445-4a38-8f4d-95acd957a519n@googlegroups.com>
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Tue, 22 Jun 2021 10:27:37 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Tianxiang Weng - Tue, 22 Jun 2021 10:27 UTC

On Tuesday, June 22, 2021 at 3:18:18 AM UTC-7, Tianxiang Weng wrote:
> On Monday, May 24, 2021 at 3:00:28 AM UTC-7, Tianxiang Weng wrote:
> > On Sunday, May 23, 2021 at 10:22:23 PM UTC-7, andrew_b wrote:
> > > Series_Number <= std_logic_vector (unsigned (Series_Number) + 1);
> > andrew_b, thank you!!!
> >
> > Weng
Hi,
I now have another similar problem: how to change an integer to a std_logic_vector.in VHDL-2002.

Here is a code snippet:

signal X :integer range 0 to 15; -- X is used as an index to an array.
signal Y :std_logic_vector(3 downto 0); -- Y is a device input interface so Y data type cannot change, but X may be.

Y <= std_logic_vector(X); -- error!

Thank you.

Weng

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<cb928007-76f1-4b94-a230-e106e526e255n@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=185&group=comp.arch.fpga#185

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a05:620a:2208:: with SMTP id m8mr3561538qkh.299.1624360751518;
Tue, 22 Jun 2021 04:19:11 -0700 (PDT)
X-Received: by 2002:aed:204c:: with SMTP id 70mr3001059qta.260.1624360751385;
Tue, 22 Jun 2021 04:19:11 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Tue, 22 Jun 2021 04:19:11 -0700 (PDT)
In-Reply-To: <20e67c9d-b445-4a38-8f4d-95acd957a519n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:98c2:3c82:6f17:bb0c;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:98c2:3c82:6f17:bb0c
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
<b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com> <5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>
<85abf235-220e-41fe-a264-4b5bad090766n@googlegroups.com> <20e67c9d-b445-4a38-8f4d-95acd957a519n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <cb928007-76f1-4b94-a230-e106e526e255n@googlegroups.com>
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Tue, 22 Jun 2021 11:19:11 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Tianxiang Weng - Tue, 22 Jun 2021 11:19 UTC

On Tuesday, June 22, 2021 at 3:27:40 AM UTC-7, Tianxiang Weng wrote:
> On Tuesday, June 22, 2021 at 3:18:18 AM UTC-7, Tianxiang Weng wrote:
> > On Monday, May 24, 2021 at 3:00:28 AM UTC-7, Tianxiang Weng wrote:
> > > On Sunday, May 23, 2021 at 10:22:23 PM UTC-7, andrew_b wrote:
> > > > Series_Number <= std_logic_vector (unsigned (Series_Number) + 1);
> > > andrew_b, thank you!!!
> > >
> > > Weng
> Hi,
> I now have another similar problem: how to change an integer to a std_logic_vector.in VHDL-2002.
> Here is a code snippet:
> signal X :integer range 0 to 15; -- X is used as an index to an array.
> signal Y :std_logic_vector(3 downto 0); -- Y is a device input interface so Y data type cannot change, but X may be.
>
> Y <= std_logic_vector(X); -- error!
>
> Thank you.
>
> Weng

Hi,
In https://groups.google.com/g/comp.arch.fpga/c/vkeCNxzCvdc/m/wOk5AZVR0ksJ, I learned the solution:
Y <= std_logic_vector(to_unsigned(X, WIDTH));

Thank you.

Weng

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<sm01r8thszn.fsf@lakka.kapsi.fi>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=186&group=comp.arch.fpga#186

 copy link   Newsgroups: comp.arch.fpga
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: as...@sci.fi (Anssi Saari)
Newsgroups: comp.arch.fpga
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
Date: Wed, 23 Jun 2021 11:42:36 +0300
Organization: An impatient and LOUD arachnid
Lines: 13
Message-ID: <sm01r8thszn.fsf@lakka.kapsi.fi>
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
<b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com>
<5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>
<85abf235-220e-41fe-a264-4b5bad090766n@googlegroups.com>
Mime-Version: 1.0
Content-Type: text/plain
Injection-Info: reader02.eternal-september.org; posting-host="56b994fa8c8c019c7094a87a40cbefc0";
logging-data="12659"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+W4eTX1lIgLCA2/CcPY73g"
User-Agent: Gnus/5.13 (Gnus v5.13) Emacs/26.1 (gnu/linux)
Cancel-Lock: sha1:u5ssvw/lfsaY1ezBPGl8vkFBTyk=
sha1:U7e2mSfnYUK/Yw/dF3CcoQGj5UE=
 by: Anssi Saari - Wed, 23 Jun 2021 08:42 UTC

Tianxiang Weng <wtxwtx@gmail.com> writes:

> I now ha another similar problem: how to change an integer to a std_logic_vector.
>
> Here is a code snippet:
> signal X :integer range 0 to 15;
> signal Y :

Maybe save a copy of this:

https://www.synthworks.com/papers/vhdl_math_tricks_mapld_2003.pdf

It has pretty good coverage on type conversions in VHDL.

Re: How to increase data of std_logic_vector by 1 in VHDL-2002

<2618a8bf-6727-4088-9b87-b7831c4f8df3n@googlegroups.com>

 copy mid

https://www.novabbs.com/devel/article-flat.php?id=187&group=comp.arch.fpga#187

 copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a0c:fd85:: with SMTP id p5mr5219686qvr.22.1624542858386;
Thu, 24 Jun 2021 06:54:18 -0700 (PDT)
X-Received: by 2002:a37:6413:: with SMTP id y19mr5732638qkb.351.1624542858248;
Thu, 24 Jun 2021 06:54:18 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Thu, 24 Jun 2021 06:54:17 -0700 (PDT)
In-Reply-To: <sm01r8thszn.fsf@lakka.kapsi.fi>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:ddea:a98:ceef:ae4f;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:ddea:a98:ceef:ae4f
References: <b149cee3-39a9-4f1d-bf08-8428eaf2776fn@googlegroups.com>
<b20ae970-757f-45a0-b972-d7c9abd1ee88n@googlegroups.com> <5b38dfa4-5c40-4fef-bed1-798e34f1b832n@googlegroups.com>
<85abf235-220e-41fe-a264-4b5bad090766n@googlegroups.com> <sm01r8thszn.fsf@lakka.kapsi.fi>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <2618a8bf-6727-4088-9b87-b7831c4f8df3n@googlegroups.com>
Subject: Re: How to increase data of std_logic_vector by 1 in VHDL-2002
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Thu, 24 Jun 2021 13:54:18 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: Tianxiang Weng - Thu, 24 Jun 2021 13:54 UTC

On Wednesday, June 23, 2021 at 1:42:41 AM UTC-7, Anssi Saari wrote:
> Tianxiang Weng <wtx...@gmail.com> writes:
>
> > I now ha another similar problem: how to change an integer to a std_logic_vector.
> >
> > Here is a code snippet:
> > signal X :integer range 0 to 15;
> > signal Y :
> Maybe save a copy of this:
>
> https://www.synthworks.com/papers/vhdl_math_tricks_mapld_2003.pdf
>
> It has pretty good coverage on type conversions in VHDL.

Hi,
Thank you.
When I opened the *.pdf file and planned to save it, a surprising thing happened: my computer has already had a copy of it. After downloading it, I never read it. Its name is "DRAFT Standard for Floating-Point Arithmetic P754-Draft 129", I thought it is for Floating-Point Arithmetic only, and I never use Floating-Point Arithmetic in VHDL. After your post, I changed the pdf file name to "VHDL-Type conversion".

This time I will read it carefully.

Weng

1
server_pubkey.txt

rocksolid light 0.9.7
clearnet tor