Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

Professional wrestling: ballet for the common man.


devel / comp.arch.fpga / Re: A loop problem which does not do what is expected

SubjectAuthor
* A loop problem which does not do what is expectedTianxiang Weng
`* Re: A loop problem which does not do what is expectedTianxiang Weng
 +* Re: A loop problem which does not do what is expectedTianxiang Weng
 |`* Re: A loop problem which does not do what is expectedHT-Lab
 | `* Re: A loop problem which does not do what is expectedTianxiang Weng
 |  `* Re: A loop problem which does not do what is expectedHT-Lab
 |   `- Re: A loop problem which does not do what is expectedTianxiang Weng
 `* Re: A loop problem which does not do what is expectedgnuarm.del...@gmail.com
  `* Re: A loop problem which does not do what is expectedTianxiang Weng
   `- Re: A loop problem which does not do what is expectedgnuarm.del...@gmail.com

1
A loop problem which does not do what is expected

<ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=169&group=comp.arch.fpga#169

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ad4:55eb:: with SMTP id bu11mr6079616qvb.2.1624026777713; Fri, 18 Jun 2021 07:32:57 -0700 (PDT)
X-Received: by 2002:a37:638b:: with SMTP id x133mr9884180qkb.445.1624026777582; Fri, 18 Jun 2021 07:32:57 -0700 (PDT)
Path: i2pn2.org!i2pn.org!aioe.org!news.uzoreto.com!tr1.eu1.usenetexpress.com!feeder.usenetexpress.com!tr1.iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Fri, 18 Jun 2021 07:32:57 -0700 (PDT)
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:d4bd:32a9:e033:151f; posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:d4bd:32a9:e033:151f
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>
Subject: A loop problem which does not do what is expected
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Fri, 18 Jun 2021 14:32:57 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 57
 by: Tianxiang Weng - Fri, 18 Jun 2021 14:32 UTC

Hi,
I have a problem that does not do what is expected.

I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.

There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.

What I want to do is to latch the error information.

A1 : process(RESET, CLK)
variable Error_O_v : std_logic;
variable Error_Level_O_v, Error_Code_O_v : integer;

procedure INIT is
begin
Error_O <= '0';
Error_Level_O <= 0;
Error_Code_O <= 0;
end procedure INIT;

begin
if RESET = '1' then
INIT;
elsif rising_edge(CLK) then
if SINI = '1' then
INIT;
else
Error_O_v := '0';
Error_Level_O_v := 0;
Error_Code_O_v := 0;

-- propbelm may be here!
for j in 0 to G_TOP_LEVEL loop
if Error_O_v = '0' then
if Error_O_m(j) = '1' then
Error_O_v := '1';
Error_Level_O_v := j;
Error_Code_O_v := Error_Code_O_m(j);
end if;
end if;
end loop;

-- hope to latch error info into 3 output ports
if Error_O = '0' and Error_O_v = '1' then
Error_O <= '1';
Error_Level_O <= Error_Level_O_v;
Error_Code_O <= Error_Code_O_v;
end if;
end if;
end process;

During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.

What is wrong?

Thank you.

Weng

Re: A loop problem which does not do what is expected

<3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=170&group=comp.arch.fpga#170

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a37:6c4:: with SMTP id 187mr12317054qkg.95.1624062662850;
Fri, 18 Jun 2021 17:31:02 -0700 (PDT)
X-Received: by 2002:ad4:4426:: with SMTP id e6mr8404267qvt.46.1624062662629;
Fri, 18 Jun 2021 17:31:02 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Fri, 18 Jun 2021 17:31:02 -0700 (PDT)
In-Reply-To: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:b915:e141:e807:a227;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:b915:e141:e807:a227
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>
Subject: Re: A loop problem which does not do what is expected
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Sat, 19 Jun 2021 00:31:02 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Tianxiang Weng - Sat, 19 Jun 2021 00:31 UTC

On Friday, June 18, 2021 at 7:33:01 AM UTC-7, Tianxiang Weng wrote:
> Hi,
> I have a problem that does not do what is expected.
>
> I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.
>
> There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.
>
> What I want to do is to latch the error information.
>
> A1 : process(RESET, CLK)
> variable Error_O_v : std_logic;
> variable Error_Level_O_v, Error_Code_O_v : integer;
>
> procedure INIT is
> begin
> Error_O <= '0';
> Error_Level_O <= 0;
> Error_Code_O <= 0;
> end procedure INIT;
>
> begin
> if RESET = '1' then
> INIT;
> elsif rising_edge(CLK) then
> if SINI = '1' then
> INIT;
> else
> Error_O_v := '0';
> Error_Level_O_v := 0;
> Error_Code_O_v := 0;
>
> -- propbelm may be here!
> for j in 0 to G_TOP_LEVEL loop
> if Error_O_v = '0' then
> if Error_O_m(j) = '1' then
> Error_O_v := '1';
> Error_Level_O_v := j;
> Error_Code_O_v := Error_Code_O_m(j);
> end if;
> end if;
> end loop;
>
> -- hope to latch error info into 3 output ports
> if Error_O = '0' and Error_O_v = '1' then
> Error_O <= '1';
> Error_Level_O <= Error_Level_O_v;
> Error_Code_O <= Error_Code_O_v;
> end if;
> end if;
> end process;
>
> During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.
>
> What is wrong?
>
> Thank you.
>
> Weng

Hi,
I rewrote the above code, but it still does not work.

in the project package, I define the following function:
function Get_Index (x: std_logic_vector) return integer is
variable index : integer;
begin
index := 0;
for j in x'low to x'high loop
if x(index) = '1' then
index := j; -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set
return index;
end if;
end loop;
return 255; -- no bit is asserted
end Get_Index;

---------------------------------------

Error_p : process(RESET, CLK)
variable v_Index : integer;
procedure INIT is
begin
Error_Oi <= '0';
Error_Level_O <= 0;
Error_Code_O <= 0;
end procedure INIT;
begin
if RESET = '1' then
INIT;
elsif rising_edge(CLK) then
if SINI = '1' then
INIT;
else
v_Index := Get_Index(Error_O_m);
if v_Index /= 255 then
Error_O <= '1';
Error_Code_O <= Error_Code_O_m(V_Index);
Error_Level_O <= v_Index;
end if;
end if;
end if;
end process;

Thank you.

Weng

Re: A loop problem which does not do what is expected

<d54d21c4-25c0-4f21-9eb4-fc24e25f199cn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=171&group=comp.arch.fpga#171

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a0c:aa13:: with SMTP id d19mr9204767qvb.3.1624083208520;
Fri, 18 Jun 2021 23:13:28 -0700 (PDT)
X-Received: by 2002:a37:8081:: with SMTP id b123mr11694413qkd.231.1624083208375;
Fri, 18 Jun 2021 23:13:28 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Fri, 18 Jun 2021 23:13:28 -0700 (PDT)
In-Reply-To: <3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:acf3:8832:95be:1c76;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:acf3:8832:95be:1c76
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com> <3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <d54d21c4-25c0-4f21-9eb4-fc24e25f199cn@googlegroups.com>
Subject: Re: A loop problem which does not do what is expected
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Sat, 19 Jun 2021 06:13:28 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Tianxiang Weng - Sat, 19 Jun 2021 06:13 UTC

On Friday, June 18, 2021 at 5:31:05 PM UTC-7, Tianxiang Weng wrote:
> On Friday, June 18, 2021 at 7:33:01 AM UTC-7, Tianxiang Weng wrote:
> > Hi,
> > I have a problem that does not do what is expected.
> >
> > I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.
> >
> > There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.
> >
> > What I want to do is to latch the error information.
> >
> > A1 : process(RESET, CLK)
> > variable Error_O_v : std_logic;
> > variable Error_Level_O_v, Error_Code_O_v : integer;
> >
> > procedure INIT is
> > begin
> > Error_O <= '0';
> > Error_Level_O <= 0;
> > Error_Code_O <= 0;
> > end procedure INIT;
> >
> > begin
> > if RESET = '1' then
> > INIT;
> > elsif rising_edge(CLK) then
> > if SINI = '1' then
> > INIT;
> > else
> > Error_O_v := '0';
> > Error_Level_O_v := 0;
> > Error_Code_O_v := 0;
> >
> > -- propbelm may be here!
> > for j in 0 to G_TOP_LEVEL loop
> > if Error_O_v = '0' then
> > if Error_O_m(j) = '1' then
> > Error_O_v := '1';
> > Error_Level_O_v := j;
> > Error_Code_O_v := Error_Code_O_m(j);
> > end if;
> > end if;
> > end loop;
> >
> > -- hope to latch error info into 3 output ports
> > if Error_O = '0' and Error_O_v = '1' then
> > Error_O <= '1';
> > Error_Level_O <= Error_Level_O_v;
> > Error_Code_O <= Error_Code_O_v;
> > end if;
> > end if;
> > end process;
> >
> > During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.
> >
> > What is wrong?
> >
> > Thank you.
> >
> > Weng
> Hi,
> I rewrote the above code, but it still does not work.
>
> in the project package, I define the following function:
> function Get_Index (x: std_logic_vector) return integer is
> variable index : integer;
> begin
> index := 0;
> for j in x'low to x'high loop
> if x(index) = '1' then
> index := j; -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set
> return index;
> end if;
> end loop;
> return 255; -- no bit is asserted
> end Get_Index;
>
> ---------------------------------------
>
> Error_p : process(RESET, CLK)
> variable v_Index : integer;
> procedure INIT is
> begin
> Error_Oi <= '0';
> Error_Level_O <= 0;
> Error_Code_O <= 0;
> end procedure INIT;
> begin
> if RESET = '1' then
> INIT;
> elsif rising_edge(CLK) then
> if SINI = '1' then
> INIT;
> else
> v_Index := Get_Index(Error_O_m);
> if v_Index /= 255 then
> Error_O <= '1';
> Error_Code_O <= Error_Code_O_m(V_Index);
> Error_Level_O <= v_Index;
> end if;
> end if;
> end if;
> end process;
>
> Thank you.
>
> Weng

Hi Hans and Rick,

Please help me! I don' work for any company and nobody can help me except the web.

Weng

Re: A loop problem which does not do what is expected

<EGhzI.137098$_jR.44023@fx14.ams4>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=172&group=comp.arch.fpga#172

  copy link   Newsgroups: comp.arch.fpga
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!feeder1.feed.usenet.farm!feed.usenet.farm!peer02.ams4!peer.am4.highwinds-media.com!news.highwinds-media.com!fx14.ams4.POSTED!not-for-mail
Reply-To: hans64@htminuslab.com
Subject: Re: A loop problem which does not do what is expected
Newsgroups: comp.arch.fpga
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>
<3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>
<d54d21c4-25c0-4f21-9eb4-fc24e25f199cn@googlegroups.com>
From: han...@htminuslab.com (HT-Lab)
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:78.0) Gecko/20100101
Thunderbird/78.11.0
MIME-Version: 1.0
In-Reply-To: <d54d21c4-25c0-4f21-9eb4-fc24e25f199cn@googlegroups.com>
Content-Type: text/plain; charset=utf-8; format=flowed
Content-Language: en-GB
Content-Transfer-Encoding: 7bit
X-Antivirus: Avast (VPS 210618-4, 18/06/2021), Outbound message
X-Antivirus-Status: Clean
Lines: 143
Message-ID: <EGhzI.137098$_jR.44023@fx14.ams4>
X-Complaints-To: http://netreport.virginmedia.com
NNTP-Posting-Date: Sat, 19 Jun 2021 08:13:24 UTC
Organization: virginmedia.com
Date: Sat, 19 Jun 2021 09:13:23 +0100
X-Received-Bytes: 4978
 by: HT-Lab - Sat, 19 Jun 2021 08:13 UTC

On 19/06/2021 07:13, Tianxiang Weng wrote:
> On Friday, June 18, 2021 at 5:31:05 PM UTC-7, Tianxiang Weng wrote:
>> On Friday, June 18, 2021 at 7:33:01 AM UTC-7, Tianxiang Weng wrote:
>>> Hi,
>>> I have a problem that does not do what is expected.
>>>
>>> I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.
>>>
>>> There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.
>>>
>>> What I want to do is to latch the error information.
>>>
>>> A1 : process(RESET, CLK)
>>> variable Error_O_v : std_logic;
>>> variable Error_Level_O_v, Error_Code_O_v : integer;
>>>
>>> procedure INIT is
>>> begin
>>> Error_O <= '0';
>>> Error_Level_O <= 0;
>>> Error_Code_O <= 0;
>>> end procedure INIT;
>>>
>>> begin
>>> if RESET = '1' then
>>> INIT;
>>> elsif rising_edge(CLK) then
>>> if SINI = '1' then
>>> INIT;
>>> else
>>> Error_O_v := '0';
>>> Error_Level_O_v := 0;
>>> Error_Code_O_v := 0;
>>>
>>> -- propbelm may be here!
>>> for j in 0 to G_TOP_LEVEL loop
>>> if Error_O_v = '0' then
>>> if Error_O_m(j) = '1' then
>>> Error_O_v := '1';
>>> Error_Level_O_v := j;
>>> Error_Code_O_v := Error_Code_O_m(j);
>>> end if;
>>> end if;
>>> end loop;
>>>
>>> -- hope to latch error info into 3 output ports
>>> if Error_O = '0' and Error_O_v = '1' then
>>> Error_O <= '1';
>>> Error_Level_O <= Error_Level_O_v;
>>> Error_Code_O <= Error_Code_O_v;
>>> end if;
>>> end if;
>>> end process;
>>>
>>> During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.
>>>
>>> What is wrong?
>>>
>>> Thank you.
>>>
>>> Weng
>> Hi,
>> I rewrote the above code, but it still does not work.
>>
>> in the project package, I define the following function:
>> function Get_Index (x: std_logic_vector) return integer is
>> variable index : integer;
>> begin
>> index := 0;
>> for j in x'low to x'high loop
>> if x(index) = '1' then
>> index := j; -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set
>> return index;
>> end if;
>> end loop;
>> return 255; -- no bit is asserted
>> end Get_Index;
>>
>> ---------------------------------------
>>
>> Error_p : process(RESET, CLK)
>> variable v_Index : integer;
>> procedure INIT is
>> begin
>> Error_Oi <= '0';
>> Error_Level_O <= 0;
>> Error_Code_O <= 0;
>> end procedure INIT;
>> begin
>> if RESET = '1' then
>> INIT;
>> elsif rising_edge(CLK) then
>> if SINI = '1' then
>> INIT;
>> else
>> v_Index := Get_Index(Error_O_m);
>> if v_Index /= 255 then
>> Error_O <= '1';
>> Error_Code_O <= Error_Code_O_m(V_Index);
>> Error_Level_O <= v_Index;
>> end if;
>> end if;
>> end if;
>> end process;
>>
>> Thank you.
>>
>> Weng
>
> Hi Hans and Rick,
>
> Please help me! I don' work for any company and nobody can help me except the web.
>
> Weng
>
Hi Weng,

Fortunately I do work for a company and free time is very scares. This
newsgroup is probably also not the best place to ask VHDL questions,
stack exchange or the many vendor mailing lists might be better.

I just had a quick look at your code and in your first example no
latching occurs as you never assert Error_O_v so your loop is never entered:

Error_O_v := '0';
for j in 0 to G_TOP_LEVEL loop
if Error_O_v = '0' then -- always 0
if Error_O_m(j) = '1' then
Error_O_v := '1';

In your second example you never clear Error_O but instead you clear
Error_Oi. So I suspect you get an 'X' for Error_O?

Simulation should answer all your questions, just keep your code as
simple as possible.

Good luck,
Hans
www.ht-lab.com

Re: A loop problem which does not do what is expected

<77dd4482-642d-4a0e-a4d2-a5813cc24564n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=173&group=comp.arch.fpga#173

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ac8:75c3:: with SMTP id z3mr14215546qtq.308.1624098021913;
Sat, 19 Jun 2021 03:20:21 -0700 (PDT)
X-Received: by 2002:a05:620a:35c:: with SMTP id t28mr13709528qkm.10.1624098021696;
Sat, 19 Jun 2021 03:20:21 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sat, 19 Jun 2021 03:20:21 -0700 (PDT)
In-Reply-To: <EGhzI.137098$_jR.44023@fx14.ams4>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:acf3:8832:95be:1c76;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:acf3:8832:95be:1c76
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>
<3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com> <d54d21c4-25c0-4f21-9eb4-fc24e25f199cn@googlegroups.com>
<EGhzI.137098$_jR.44023@fx14.ams4>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <77dd4482-642d-4a0e-a4d2-a5813cc24564n@googlegroups.com>
Subject: Re: A loop problem which does not do what is expected
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Sat, 19 Jun 2021 10:20:21 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Tianxiang Weng - Sat, 19 Jun 2021 10:20 UTC

On Saturday, June 19, 2021 at 1:13:30 AM UTC-7, HT-Lab wrote:
> On 19/06/2021 07:13, Tianxiang Weng wrote:
> > On Friday, June 18, 2021 at 5:31:05 PM UTC-7, Tianxiang Weng wrote:
> >> On Friday, June 18, 2021 at 7:33:01 AM UTC-7, Tianxiang Weng wrote:
> >>> Hi,
> >>> I have a problem that does not do what is expected.
> >>>
> >>> I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.
> >>>
> >>> There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.
> >>>
> >>> What I want to do is to latch the error information.
> >>>
> >>> A1 : process(RESET, CLK)
> >>> variable Error_O_v : std_logic;
> >>> variable Error_Level_O_v, Error_Code_O_v : integer;
> >>>
> >>> procedure INIT is
> >>> begin
> >>> Error_O <= '0';
> >>> Error_Level_O <= 0;
> >>> Error_Code_O <= 0;
> >>> end procedure INIT;
> >>>
> >>> begin
> >>> if RESET = '1' then
> >>> INIT;
> >>> elsif rising_edge(CLK) then
> >>> if SINI = '1' then
> >>> INIT;
> >>> else
> >>> Error_O_v := '0';
> >>> Error_Level_O_v := 0;
> >>> Error_Code_O_v := 0;
> >>>
> >>> -- propbelm may be here!
> >>> for j in 0 to G_TOP_LEVEL loop
> >>> if Error_O_v = '0' then
> >>> if Error_O_m(j) = '1' then
> >>> Error_O_v := '1';
> >>> Error_Level_O_v := j;
> >>> Error_Code_O_v := Error_Code_O_m(j);
> >>> end if;
> >>> end if;
> >>> end loop;
> >>>
> >>> -- hope to latch error info into 3 output ports
> >>> if Error_O = '0' and Error_O_v = '1' then
> >>> Error_O <= '1';
> >>> Error_Level_O <= Error_Level_O_v;
> >>> Error_Code_O <= Error_Code_O_v;
> >>> end if;
> >>> end if;
> >>> end process;
> >>>
> >>> During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.
> >>>
> >>> What is wrong?
> >>>
> >>> Thank you.
> >>>
> >>> Weng
> >> Hi,
> >> I rewrote the above code, but it still does not work.
> >>
> >> in the project package, I define the following function:
> >> function Get_Index (x: std_logic_vector) return integer is
> >> variable index : integer;
> >> begin
> >> index := 0;
> >> for j in x'low to x'high loop
> >> if x(index) = '1' then
> >> index := j; -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set
> >> return index;
> >> end if;
> >> end loop;
> >> return 255; -- no bit is asserted
> >> end Get_Index;
> >>
> >> ---------------------------------------
> >>
> >> Error_p : process(RESET, CLK)
> >> variable v_Index : integer;
> >> procedure INIT is
> >> begin
> >> Error_Oi <= '0';
> >> Error_Level_O <= 0;
> >> Error_Code_O <= 0;
> >> end procedure INIT;
> >> begin
> >> if RESET = '1' then
> >> INIT;
> >> elsif rising_edge(CLK) then
> >> if SINI = '1' then
> >> INIT;
> >> else
> >> v_Index := Get_Index(Error_O_m);
> >> if v_Index /= 255 then
> >> Error_O <= '1';
> >> Error_Code_O <= Error_Code_O_m(V_Index);
> >> Error_Level_O <= v_Index;
> >> end if;
> >> end if;
> >> end if;
> >> end process;
> >>
> >> Thank you.
> >>
> >> Weng
> >
> > Hi Hans and Rick,
> >
> > Please help me! I don' work for any company and nobody can help me except the web.
> >
> > Weng
> >
> Hi Weng,
>
> Fortunately I do work for a company and free time is very scares. This
> newsgroup is probably also not the best place to ask VHDL questions,
> stack exchange or the many vendor mailing lists might be better.
>
> I just had a quick look at your code and in your first example no
> latching occurs as you never assert Error_O_v so your loop is never entered:
>
> Error_O_v := '0';
> for j in 0 to G_TOP_LEVEL loop
> if Error_O_v = '0' then -- always 0
> if Error_O_m(j) = '1' then
> Error_O_v := '1';
> In your second example you never clear Error_O but instead you clear
> Error_Oi. So I suspect you get an 'X' for Error_O?
>
> Simulation should answer all your questions, just keep your code as
> simple as possible.
>
> Good luck,
> Hans
> www.ht-lab.com

Hi Hans,
Thank you for your help.

Error_O_v := '0'; -- its value is initialized here
for j in 0 to G_TOP_LEVEL loop
....if Error_O_v = '0' then -- always 0? it is true on first entry
.......if Error_O_m(j) = '1' then -- an error happens if true
...........Error_O_v := '1'; -- it is refreshed here if an error is detected, after that above if-condition = false, and all remaining testing skips

> In your second example you never clear Error_O but instead you clear
> Error_Oi. So I suspect you get an 'X' for Error_O?

You are right, but it is an error that is corrected after my posting, Error_Oi is introduced to be the internal value of output port Error_O for VHDL-2002: Error_O <= Error_Oi; after compiling, Error_O <= '1' is corrected as Error_Oi <= '1';.

After reading your text, I added the signal Get_Index_View to see what happens to it when an error happens.

v_Index := Get_Index(Error_O_m);
Get_Index_View <= v_Index; -- added signal to watch after Get_Index(Error_O_m)
if v_Index /= 255 then
Error_Oi <= '1';
Error_Code_O <= Error_Code_O_m(v_Index);
Error_Level_O <= v_Index;
end if;

Simulation shows Get_Index_View = 255 always.

function Get_Index (x: std_logic_vector) return integer is
....variable index : integer;
begin
....index := 0;
....for j in x'low to x'high loop
.......if x(index) = '1' then
..........index := j;
..........return index; -- ?, no action!
.......end if;
....end loop;
....return 255; -- always! simulation shows!
end Get_Index;

I would like to hear your advice on how to implementing the function. I think my version should be the simplest.

Thank you.

Weng

Re: A loop problem which does not do what is expected

<bwmzI.21339$rTd1.12502@fx15.ams4>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=174&group=comp.arch.fpga#174

  copy link   Newsgroups: comp.arch.fpga
Path: i2pn2.org!i2pn.org!aioe.org!feeder1.feed.usenet.farm!feed.usenet.farm!peer02.ams4!peer.am4.highwinds-media.com!news.highwinds-media.com!fx15.ams4.POSTED!not-for-mail
Reply-To: hans64@htminuslab.com
Subject: Re: A loop problem which does not do what is expected
Newsgroups: comp.arch.fpga
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>
<3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>
<d54d21c4-25c0-4f21-9eb4-fc24e25f199cn@googlegroups.com>
<EGhzI.137098$_jR.44023@fx14.ams4>
<77dd4482-642d-4a0e-a4d2-a5813cc24564n@googlegroups.com>
From: han...@htminuslab.com (HT-Lab)
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:78.0) Gecko/20100101
Thunderbird/78.11.0
MIME-Version: 1.0
In-Reply-To: <77dd4482-642d-4a0e-a4d2-a5813cc24564n@googlegroups.com>
Content-Type: text/plain; charset=utf-8; format=flowed
Content-Language: en-GB
Content-Transfer-Encoding: 7bit
X-Antivirus: Avast (VPS 210618-4, 18/06/2021), Outbound message
X-Antivirus-Status: Clean
Lines: 43
Message-ID: <bwmzI.21339$rTd1.12502@fx15.ams4>
X-Complaints-To: http://netreport.virginmedia.com
NNTP-Posting-Date: Sat, 19 Jun 2021 13:43:35 UTC
Organization: virginmedia.com
Date: Sat, 19 Jun 2021 14:43:35 +0100
X-Received-Bytes: 2177
 by: HT-Lab - Sat, 19 Jun 2021 13:43 UTC

On 19/06/2021 11:20, Tianxiang Weng wrote:
> On Saturday, June 19, 2021 at 1:13:30 AM UTC-7, HT-Lab wrote:
... snip
>
> Simulation shows Get_Index_View = 255 always.

Hi Weng,

>
> function Get_Index (x: std_logic_vector) return integer is
> ...variable index : integer;
> begin
> ...index := 0;
> ...for j in x'low to x'high loop
> ......if x(index) = '1' then

index will remain 0 if x(0) is '0', perhaps you want "if x(j)='1'" ?

I would suggest you single step through your design as this will show
you the issue. Just open the file in the Modelsim editor, set a
breakpoint on the index:=0 line hit run -all then single step through
the design.

Good luck,

Regards,
Hans.
www.ht-lab.com

> .........index := j;
> .........return index; -- ?, no action!
> ......end if;
> ...end loop;
> ...return 255; -- always! simulation shows!
> end Get_Index;
>
> I would like to hear your advice on how to implementing the function. I think my version should be the simplest.
>
> Thank you.
>
> Weng

Re: A loop problem which does not do what is expected

<557396d1-57e5-4c8c-bebc-262d0a45acc7n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=175&group=comp.arch.fpga#175

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ae9:e8d2:: with SMTP id a201mr14980748qkg.98.1624118459747;
Sat, 19 Jun 2021 09:00:59 -0700 (PDT)
X-Received: by 2002:a05:620a:404:: with SMTP id 4mr14663544qkp.387.1624118459441;
Sat, 19 Jun 2021 09:00:59 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sat, 19 Jun 2021 09:00:59 -0700 (PDT)
In-Reply-To: <bwmzI.21339$rTd1.12502@fx15.ams4>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:6d30:74cd:4390:95a3;
posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:6d30:74cd:4390:95a3
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com>
<3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com> <d54d21c4-25c0-4f21-9eb4-fc24e25f199cn@googlegroups.com>
<EGhzI.137098$_jR.44023@fx14.ams4> <77dd4482-642d-4a0e-a4d2-a5813cc24564n@googlegroups.com>
<bwmzI.21339$rTd1.12502@fx15.ams4>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <557396d1-57e5-4c8c-bebc-262d0a45acc7n@googlegroups.com>
Subject: Re: A loop problem which does not do what is expected
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Sat, 19 Jun 2021 16:00:59 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Tianxiang Weng - Sat, 19 Jun 2021 16:00 UTC

On Saturday, June 19, 2021 at 6:43:41 AM UTC-7, HT-Lab wrote:
> On 19/06/2021 11:20, Tianxiang Weng wrote:
> > On Saturday, June 19, 2021 at 1:13:30 AM UTC-7, HT-Lab wrote:
> .. snip
> >
> > Simulation shows Get_Index_View = 255 always.
> Hi Weng,
> >
> > function Get_Index (x: std_logic_vector) return integer is
> > ...variable index : integer;
> > begin
> > ...index := 0;
> > ...for j in x'low to x'high loop
> > ......if x(index) = '1' then
> index will remain 0 if x(0) is '0', perhaps you want "if x(j)='1'" ?
>
> I would suggest you single step through your design as this will show
> you the issue. Just open the file in the Modelsim editor, set a
> breakpoint on the index:=0 line hit run -all then single step through
> the design.
>
> Good luck,
>
> Regards,
> Hans.
> www.ht-lab.com
> > .........index := j;
> > .........return index; -- ?, no action!
> > ......end if;
> > ...end loop;
> > ...return 255; -- always! simulation shows!
> > end Get_Index;
> >
> > I would like to hear your advice on how to implementing the function. I think my version should be the simplest.
> >
> > Thank you.
> >
> > Weng

Hi Hans,
Under your guidance, the problem is resolved. Here is my latest version of Get_Index:

function Get_Index (x: std_logic_vector) return integer is
begin
.......for j in x'low to x'high loop
.............if x(j) = '1' then -- it is the error you help me to find, originally if x(index) = '1' then
...................return j;
.............end if;
.......end loop;
.......return 255; -- no bit is asserted
end Get_Index;

This error gave me a deep lesson: I must check again and again to make sure no design error exists!

Thank you very much!!!

Weng

Re: A loop problem which does not do what is expected

<0190090e-8ab2-4df3-9eae-123fca6b2810n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=176&group=comp.arch.fpga#176

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:ac8:57d1:: with SMTP id w17mr19687748qta.149.1624202795882;
Sun, 20 Jun 2021 08:26:35 -0700 (PDT)
X-Received: by 2002:a37:e53:: with SMTP id 80mr19207166qko.187.1624202795747;
Sun, 20 Jun 2021 08:26:35 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sun, 20 Jun 2021 08:26:35 -0700 (PDT)
In-Reply-To: <3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=64.237.228.68; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 64.237.228.68
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com> <3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <0190090e-8ab2-4df3-9eae-123fca6b2810n@googlegroups.com>
Subject: Re: A loop problem which does not do what is expected
From: gnuarm.d...@gmail.com (gnuarm.del...@gmail.com)
Injection-Date: Sun, 20 Jun 2021 15:26:35 +0000
Content-Type: text/plain; charset="UTF-8"
 by: gnuarm.del...@gmail. - Sun, 20 Jun 2021 15:26 UTC

On Friday, June 18, 2021 at 8:31:05 PM UTC-4, Tianxiang Weng wrote:
> On Friday, June 18, 2021 at 7:33:01 AM UTC-7, Tianxiang Weng wrote:
> > Hi,
> > I have a problem that does not do what is expected.
> >
> > I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.
> >
> > There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.
> >
> > What I want to do is to latch the error information.
> >
> > A1 : process(RESET, CLK)
> > variable Error_O_v : std_logic;
> > variable Error_Level_O_v, Error_Code_O_v : integer;
> >
> > procedure INIT is
> > begin
> > Error_O <= '0';
> > Error_Level_O <= 0;
> > Error_Code_O <= 0;
> > end procedure INIT;
> >
> > begin
> > if RESET = '1' then
> > INIT;
> > elsif rising_edge(CLK) then
> > if SINI = '1' then
> > INIT;
> > else
> > Error_O_v := '0';
> > Error_Level_O_v := 0;
> > Error_Code_O_v := 0;
> >
> > -- propbelm may be here!
> > for j in 0 to G_TOP_LEVEL loop
> > if Error_O_v = '0' then
> > if Error_O_m(j) = '1' then
> > Error_O_v := '1';
> > Error_Level_O_v := j;
> > Error_Code_O_v := Error_Code_O_m(j);
> > end if;
> > end if;
> > end loop;
> >
> > -- hope to latch error info into 3 output ports
> > if Error_O = '0' and Error_O_v = '1' then
> > Error_O <= '1';
> > Error_Level_O <= Error_Level_O_v;
> > Error_Code_O <= Error_Code_O_v;
> > end if;
> > end if;
> > end process;
> >
> > During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.
> >
> > What is wrong?
> >
> > Thank you.
> >
> > Weng
> Hi,
> I rewrote the above code, but it still does not work.
>
> in the project package, I define the following function:
> function Get_Index (x: std_logic_vector) return integer is
> variable index : integer;
> begin
> index := 0;
> for j in x'low to x'high loop
> if x(index) = '1' then
> index := j; -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set
> return index;
> end if;
> end loop;
> return 255; -- no bit is asserted
> end Get_Index;
>
> ---------------------------------------
>
> Error_p : process(RESET, CLK)
> variable v_Index : integer;
> procedure INIT is
> begin
> Error_Oi <= '0';
> Error_Level_O <= 0;
> Error_Code_O <= 0;
> end procedure INIT;
> begin
> if RESET = '1' then
> INIT;
> elsif rising_edge(CLK) then
> if SINI = '1' then
> INIT;
> else
> v_Index := Get_Index(Error_O_m);
> if v_Index /= 255 then
> Error_O <= '1';
> Error_Code_O <= Error_Code_O_m(V_Index);
> Error_Level_O <= v_Index;
> end if;
> end if;
> end if;
> end process;

I can't tell if your Get_Index procedure works. You initialize index to 0 which is the value used to index X the first time through. But the value returned is X'low if that first X(index) is '1'.

The comment, -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set" seems to be saying the value returned should be either j+1 or 255 if no '1' bits are found.

index := 0;
if x(index) = '1' then
index := j;
return index;
end if;
end loop;
return 255;

This looks wrong to me. I would try....

index := 255;
if x(j) = '1' then
index := j+1;
return index;
end if;
end loop;
return index;

--

Rick C.

- Get 1,000 miles of free Supercharging
- Tesla referral code - https://ts.la/richard11209

Re: A loop problem which does not do what is expected

<29858b15-20ae-4cb5-be39-e97b814b857cn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=177&group=comp.arch.fpga#177

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a37:503:: with SMTP id 3mr19582724qkf.417.1624209495688; Sun, 20 Jun 2021 10:18:15 -0700 (PDT)
X-Received: by 2002:ac8:4d92:: with SMTP id a18mr1362006qtw.228.1624209495459; Sun, 20 Jun 2021 10:18:15 -0700 (PDT)
Path: i2pn2.org!i2pn.org!aioe.org!news.uzoreto.com!tr2.eu1.usenetexpress.com!feeder.usenetexpress.com!tr3.iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sun, 20 Jun 2021 10:18:15 -0700 (PDT)
In-Reply-To: <0190090e-8ab2-4df3-9eae-123fca6b2810n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:3a90:cb30:8511:d21e:8285:1bdd; posting-account=uXeJ4gkAAADS8JQB6S6LUjzELiulwQRn
NNTP-Posting-Host: 2600:1700:3a90:cb30:8511:d21e:8285:1bdd
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com> <3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com> <0190090e-8ab2-4df3-9eae-123fca6b2810n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <29858b15-20ae-4cb5-be39-e97b814b857cn@googlegroups.com>
Subject: Re: A loop problem which does not do what is expected
From: wtx...@gmail.com (Tianxiang Weng)
Injection-Date: Sun, 20 Jun 2021 17:18:15 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 148
 by: Tianxiang Weng - Sun, 20 Jun 2021 17:18 UTC

On Sunday, June 20, 2021 at 8:26:38 AM UTC-7, gnuarm.del...@gmail.com wrote:
> On Friday, June 18, 2021 at 8:31:05 PM UTC-4, Tianxiang Weng wrote:
> > On Friday, June 18, 2021 at 7:33:01 AM UTC-7, Tianxiang Weng wrote:
> > > Hi,
> > > I have a problem that does not do what is expected.
> > >
> > > I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.
> > >
> > > There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.
> > >
> > > What I want to do is to latch the error information.
> > >
> > > A1 : process(RESET, CLK)
> > > variable Error_O_v : std_logic;
> > > variable Error_Level_O_v, Error_Code_O_v : integer;
> > >
> > > procedure INIT is
> > > begin
> > > Error_O <= '0';
> > > Error_Level_O <= 0;
> > > Error_Code_O <= 0;
> > > end procedure INIT;
> > >
> > > begin
> > > if RESET = '1' then
> > > INIT;
> > > elsif rising_edge(CLK) then
> > > if SINI = '1' then
> > > INIT;
> > > else
> > > Error_O_v := '0';
> > > Error_Level_O_v := 0;
> > > Error_Code_O_v := 0;
> > >
> > > -- propbelm may be here!
> > > for j in 0 to G_TOP_LEVEL loop
> > > if Error_O_v = '0' then
> > > if Error_O_m(j) = '1' then
> > > Error_O_v := '1';
> > > Error_Level_O_v := j;
> > > Error_Code_O_v := Error_Code_O_m(j);
> > > end if;
> > > end if;
> > > end loop;
> > >
> > > -- hope to latch error info into 3 output ports
> > > if Error_O = '0' and Error_O_v = '1' then
> > > Error_O <= '1';
> > > Error_Level_O <= Error_Level_O_v;
> > > Error_Code_O <= Error_Code_O_v;
> > > end if;
> > > end if;
> > > end process;
> > >
> > > During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.
> > >
> > > What is wrong?
> > >
> > > Thank you.
> > >
> > > Weng
> > Hi,
> > I rewrote the above code, but it still does not work.
> >
> > in the project package, I define the following function:
> > function Get_Index (x: std_logic_vector) return integer is
> > variable index : integer;
> > begin
> > index := 0;
> > for j in x'low to x'high loop
> > if x(index) = '1' then
> > index := j; -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set
> > return index;
> > end if;
> > end loop;
> > return 255; -- no bit is asserted
> > end Get_Index;
> >
> > ---------------------------------------
> >
> > Error_p : process(RESET, CLK)
> > variable v_Index : integer;
> > procedure INIT is
> > begin
> > Error_Oi <= '0';
> > Error_Level_O <= 0;
> > Error_Code_O <= 0;
> > end procedure INIT;
> > begin
> > if RESET = '1' then
> > INIT;
> > elsif rising_edge(CLK) then
> > if SINI = '1' then
> > INIT;
> > else
> > v_Index := Get_Index(Error_O_m);
> > if v_Index /= 255 then
> > Error_O <= '1';
> > Error_Code_O <= Error_Code_O_m(V_Index);
> > Error_Level_O <= v_Index;
> > end if;
> > end if;
> > end if;
> > end process;
> I can't tell if your Get_Index procedure works. You initialize index to 0 which is the value used to index X the first time through. But the value returned is X'low if that first X(index) is '1'.
>
> The comment, -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set" seems to be saying the value returned should be either j+1 or 255 if no '1' bits are found.
>
> index := 0;
> if x(index) = '1' then
> index := j;
> return index;
> end if;
> end loop;
> return 255;
> This looks wrong to me. I would try....
>
> index := 255;
> if x(j) = '1' then
> index := j+1;
> return index;
> end if;
> end loop;
> return index;
>
> --
>
> Rick C.
>
> - Get 1,000 miles of free Supercharging
> - Tesla referral code - https://ts.la/richard11209
Hi Rick,
I have found the error indicated by Hans, and before your posting, I post my final result:

function Get_Index (x: std_logic_vector) return integer is
begin
.......for j in x'low to x'high loop
.............if x(j) = '1' then -- it is the error you help me to find, originally if x(index) = '1' then
...................return j;
.............end if;
.......end loop;
.......return 255; -- no bit is asserted
end Get_Index;

My code is simpler than yours.

Thank you.

Weng

Re: A loop problem which does not do what is expected

<e1bb9859-211d-4844-b3d3-4874a7946b32n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=188&group=comp.arch.fpga#188

  copy link   Newsgroups: comp.arch.fpga
X-Received: by 2002:a37:9504:: with SMTP id x4mr18333883qkd.235.1624755447180; Sat, 26 Jun 2021 17:57:27 -0700 (PDT)
X-Received: by 2002:a37:468b:: with SMTP id t133mr19092612qka.244.1624755446985; Sat, 26 Jun 2021 17:57:26 -0700 (PDT)
Path: i2pn2.org!i2pn.org!aioe.org!news.uzoreto.com!tr1.eu1.usenetexpress.com!feeder.usenetexpress.com!tr2.iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch.fpga
Date: Sat, 26 Jun 2021 17:57:26 -0700 (PDT)
In-Reply-To: <29858b15-20ae-4cb5-be39-e97b814b857cn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=64.237.228.236; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 64.237.228.236
References: <ef4e740b-c1ce-45db-b812-c9b070890ec4n@googlegroups.com> <3fca6eb2-f511-4cfc-9325-3dacd3cb6ba6n@googlegroups.com> <0190090e-8ab2-4df3-9eae-123fca6b2810n@googlegroups.com> <29858b15-20ae-4cb5-be39-e97b814b857cn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e1bb9859-211d-4844-b3d3-4874a7946b32n@googlegroups.com>
Subject: Re: A loop problem which does not do what is expected
From: gnuarm.d...@gmail.com (gnuarm.del...@gmail.com)
Injection-Date: Sun, 27 Jun 2021 00:57:27 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 161
 by: gnuarm.del...@gmail. - Sun, 27 Jun 2021 00:57 UTC

On Sunday, June 20, 2021 at 1:18:18 PM UTC-4, Tianxiang Weng wrote:
> On Sunday, June 20, 2021 at 8:26:38 AM UTC-7, gnuarm.del...@gmail.com wrote:
> > On Friday, June 18, 2021 at 8:31:05 PM UTC-4, Tianxiang Weng wrote:
> > > On Friday, June 18, 2021 at 7:33:01 AM UTC-7, Tianxiang Weng wrote:
> > > > Hi,
> > > > I have a problem that does not do what is expected.
> > > >
> > > > I have several modules linked together from top to bottom. Each module has 3 error output signals: Error_O, Error_Level_O, and Error_Code_O. If a module has an error, Error_O = '1', Error_Level_O and Error_Code_O have their proper error info.
> > > >
> > > > There are 3 arrays to correct that information from each of those modules: Error_O_m(), Error_Level_O_m(), and Error_Code_O_m(). All those arrays are confirmed to get the right values.
> > > >
> > > > What I want to do is to latch the error information.
> > > >
> > > > A1 : process(RESET, CLK)
> > > > variable Error_O_v : std_logic;
> > > > variable Error_Level_O_v, Error_Code_O_v : integer;
> > > >
> > > > procedure INIT is
> > > > begin
> > > > Error_O <= '0';
> > > > Error_Level_O <= 0;
> > > > Error_Code_O <= 0;
> > > > end procedure INIT;
> > > >
> > > > begin
> > > > if RESET = '1' then
> > > > INIT;
> > > > elsif rising_edge(CLK) then
> > > > if SINI = '1' then
> > > > INIT;
> > > > else
> > > > Error_O_v := '0';
> > > > Error_Level_O_v := 0;
> > > > Error_Code_O_v := 0;
> > > >
> > > > -- propbelm may be here!
> > > > for j in 0 to G_TOP_LEVEL loop
> > > > if Error_O_v = '0' then
> > > > if Error_O_m(j) = '1' then
> > > > Error_O_v := '1';
> > > > Error_Level_O_v := j;
> > > > Error_Code_O_v := Error_Code_O_m(j);
> > > > end if;
> > > > end if;
> > > > end loop;
> > > >
> > > > -- hope to latch error info into 3 output ports
> > > > if Error_O = '0' and Error_O_v = '1' then
> > > > Error_O <= '1';
> > > > Error_Level_O <= Error_Level_O_v;
> > > > Error_Code_O <= Error_Code_O_v;
> > > > end if;
> > > > end if;
> > > > end process;
> > > >
> > > > During simulation, I found that 3 error signals latch nothing, keeping their initial values unchanged.
> > > >
> > > > What is wrong?
> > > >
> > > > Thank you.
> > > >
> > > > Weng
> > > Hi,
> > > I rewrote the above code, but it still does not work.
> > >
> > > in the project package, I define the following function:
> > > function Get_Index (x: std_logic_vector) return integer is
> > > variable index : integer;
> > > begin
> > > index := 0;
> > > for j in x'low to x'high loop
> > > if x(index) = '1' then
> > > index := j; -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set
> > > return index;
> > > end if;
> > > end loop;
> > > return 255; -- no bit is asserted
> > > end Get_Index;
> > >
> > > ---------------------------------------
> > >
> > > Error_p : process(RESET, CLK)
> > > variable v_Index : integer;
> > > procedure INIT is
> > > begin
> > > Error_Oi <= '0';
> > > Error_Level_O <= 0;
> > > Error_Code_O <= 0;
> > > end procedure INIT;
> > > begin
> > > if RESET = '1' then
> > > INIT;
> > > elsif rising_edge(CLK) then
> > > if SINI = '1' then
> > > INIT;
> > > else
> > > v_Index := Get_Index(Error_O_m);
> > > if v_Index /= 255 then
> > > Error_O <= '1';
> > > Error_Code_O <= Error_Code_O_m(V_Index);
> > > Error_Level_O <= v_Index;
> > > end if;
> > > end if;
> > > end if;
> > > end process;
> > I can't tell if your Get_Index procedure works. You initialize index to 0 which is the value used to index X the first time through. But the value returned is X'low if that first X(index) is '1'.
> >
> > The comment, -- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set" seems to be saying the value returned should be either j+1 or 255 if no '1' bits are found.
> >
> > index := 0;
> > if x(index) = '1' then
> > index := j;
> > return index;
> > end if;
> > end loop;
> > return 255;
> > This looks wrong to me. I would try....
> >
> > index := 255;
> > if x(j) = '1' then
> > index := j+1;
> > return index;
> > end if;
> > end loop;
> > return index;
> >
> > --
> >
> > Rick C.
> >
> > - Get 1,000 miles of free Supercharging
> > - Tesla referral code - https://ts.la/richard11209
> Hi Rick,
> I have found the error indicated by Hans, and before your posting, I post my final result:
> function Get_Index (x: std_logic_vector) return integer is
> begin
> ......for j in x'low to x'high loop
> ............if x(j) = '1' then -- it is the error you help me to find, originally if x(index) = '1' then
> ..................return j;
> ............end if;
> ......end loop;
> ......return 255; -- no bit is asserted
> end Get_Index;
>
> My code is simpler than yours.

Yes, your code is more simple, but does not do what you specified.

Your comment, "-- if j-th bit is asserted, it returns j+1, to distinguish 0 as no bit set" clearly asks for the value of J+1 to be returned if index J is asserted. Your code does not do that, it returns the value J or 255 if no bit is set.

So is your specification wrong, or is the code wrong?

It is not at all infrequent that failures in code is directly related to failures in the specification. That's why it is important to start with a well designed specification and test the code to the specification at the unit level.

At this point I have no idea if your code is wrong or if your specification is wrong.

--

Rick C.

+ Get 1,000 miles of free Supercharging
+ Tesla referral code - https://ts.la/richard11209

1
server_pubkey.txt

rocksolid light 0.9.8
clearnet tor