Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

There are bugs and then there are bugs. And then there are bugs. -- Karl Lehenbauer


devel / comp.arch / Re: On diodes (answer to a poster at RWT.com)

SubjectAuthor
* On diodes (answer to a poster at RWT.com)Vasco Costa
+* Re: On diodes (answer to a poster at RWT.com)Quadibloc
|+* Re: On diodes (answer to a poster at RWT.com)Vasco Costa
||+* Re: On diodes (answer to a poster at RWT.com)Anton Ertl
|||`* Re: On diodes (answer to a poster at RWT.com)Quadibloc
||| +* Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||| |`* Re: On diodes (answer to a poster at RWT.com)David Brown
||| | +* Re: On diodes (answer to a poster at RWT.com)MitchAlsup
||| | |`- Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
||| | +* Re: On diodes (answer to a poster at RWT.com)Quadibloc
||| | |+- Re: On diodes (answer to a poster at RWT.com)David Brown
||| | |`* Re: On diodes (answer to a poster at RWT.com)antispam
||| | | +- Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
||| | | `- Re: On diodes (answer to a poster at RWT.com)EricP
||| | `- Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||| `* Re: On diodes (answer to a poster at RWT.com)MitchAlsup
|||  `- Re: On diodes (answer to a poster at RWT.com)Michael S
||`* Re: On diodes (answer to a poster at RWT.com)Quadibloc
|| `* Re: On diodes (answer to a poster at RWT.com)Theo Markettos
||  `* Re: On diodes (answer to a poster at RWT.com)Quadibloc
||   `* Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
||    +* Re: On diodes (answer to a poster at RWT.com)Niklas Holsti
||    |+* Re: On diodes (answer to a poster at RWT.com)Theo Markettos
||    ||`- Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||    |`* Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||    | +- Re: On diodes (answer to a poster at RWT.com)MitchAlsup
||    | +* Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
||    | |+* Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||    | ||`* Re: On diodes (answer to a poster at RWT.com)Theo Markettos
||    | || `* Re: On diodes (answer to a poster at RWT.com)MitchAlsup
||    | ||  `* Re: On diodes (answer to a poster at RWT.com)Theo Markettos
||    | ||   `* Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||    | ||    `- Re: On diodes (answer to a poster at RWT.com)EricP
||    | |`- Re: On diodes (answer to a poster at RWT.com)MitchAlsup
||    | `* Re: On diodes (answer to a poster at RWT.com)Niklas Holsti
||    |  `- Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
||    `* Re: On diodes (answer to a poster at RWT.com)MitchAlsup
||     `* Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
||      +* Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||      |+- Re: On diodes (answer to a poster at RWT.com)MitchAlsup
||      |+- Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
||      |`- Re: On diodes (answer to a poster at RWT.com)Anton Ertl
||      `* Re: On diodes (answer to a poster at RWT.com)MitchAlsup
||       `- Re: On diodes (answer to a poster at RWT.com)Thomas Koenig
|`- Re: On diodes (answer to a poster at RWT.com)MitchAlsup
+- Re: On diodes (answer to a poster at RWT.com)Joe Pfeiffer
`- Re: On diodes (answer to a poster at RWT.com)Paul A. Clayton

Pages:12
Re: On diodes (answer to a poster at RWT.com)

<0902628c-0c3e-4047-ae68-df569bcb12cbn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20151&group=comp.arch#20151

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:371:: with SMTP id t17mr2807435qvu.55.1630079238388;
Fri, 27 Aug 2021 08:47:18 -0700 (PDT)
X-Received: by 2002:a9d:4e96:: with SMTP id v22mr8216561otk.110.1630079238179;
Fri, 27 Aug 2021 08:47:18 -0700 (PDT)
Path: i2pn2.org!i2pn.org!paganini.bofh.team!usenet.pasdenom.info!usenet-fr.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 27 Aug 2021 08:47:17 -0700 (PDT)
In-Reply-To: <2021Aug27.155339@mips.complang.tuwien.ac.at>
Injection-Info: google-groups.googlegroups.com; posting-host=104.59.204.55; posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 104.59.204.55
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de>
<iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <0902628c-0c3e-4047-ae68-df569bcb12cbn@googlegroups.com>
Subject: Re: On diodes (answer to a poster at RWT.com)
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Fri, 27 Aug 2021 15:47:18 +0000
Content-Type: text/plain; charset="UTF-8"
 by: MitchAlsup - Fri, 27 Aug 2021 15:47 UTC

On Friday, August 27, 2021 at 9:21:08 AM UTC-5, Anton Ertl wrote:
> Niklas Holsti <niklas...@tidorum.invalid> writes:
> >On 2021-08-27 9:50, Thomas Koenig wrote:
> >> If you look at, for example,
> >> https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf
> >> on slide 22 (in German) you will find a 24-transistor inverting full adder
> >> made up of 24 transistors. Page 18 will show you the implementation
> >> based on AOI logic.
> >>
> >> If you implemented the AOI logic with NAND and NOR gates, you would use
> >> many more transistors.
> >
> >
> >Hm. Slide 18, showing the AOI logic, also says "10+2" transistors for
> >the carry, and "14+2" transistors for the sum. That would be a total of
> >28 transistors, not so many more than 24. But perhaps I misunderstand
> >slide 18, and its transistor counts do not reflect an implementation
> >built from gates?
> It says "gemischtes Gatter" (mixed gate) on slide 18, whatever that
> means. If I count 4 transistors for every 2-input gate, 2 for every
> 1-input gate, and 6 for every 3-input gate, the result is 18
> transistors for the C_out part and 22 for the S part, totalling 40.
> Or 36 if you accept inverted results, like the optimized circuit does.
> And that's actually optimisitic, because most of the gates are AND and
> OR-gates, so if you do it naively, you have to insert 6 inverters,
> bringing the total to 52 transistors (48 with inverted results).
>
> So the difference between naive and optimized is a factor of 2 at the
> transistor level for this example. If you go to a lower level
> (e.g. slide 9) there is additional optimiztion opportunity.
> >On the other hand, the Wikipedia descriptions of gates show that a
> >typical (N)AND or (N)OR gate can be built from 2-3 transistors, except
> >in CMOS where more are required (but the referenced slides make a point
> >of "no CMOS!").
<
> But if you look at slide 21, you see that the corresponding CMOS
> circuit also only has 10 transistors. The reason for the
> transformation to the non-CMOS 10-transistor circuit is to avoid
> having 3 PMOS transistors in series (stack height=3). Not sure why
> that is a problem for the C_out-computing circuit, but not for the
> S-computing part of the circuit shown on slide 22. It's not the
> propagation delay from C_in to C_out, because the 3 stacked PMOS
> transistors in the C_out circuit only have A and B as inputs.
<
Back in the days we were allowed to use T-Gates, we, by and large,
allowed 3-P-channels to be between Vdd and signal out and 4-N-
channels. This has to do with the body effect of P versus N, and
the higher threshold voltage of P versus N. FinFETs have essentially
gotten rid of the body effect and P-channels are much more like
N-channels in those technologies.
<
> - anton
> --
> 'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
> Mitch Alsup, <c17fcd89-f024-40e7...@googlegroups.com>

Re: On diodes (answer to a poster at RWT.com)

<sgb4r0$96a$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20152&group=comp.arch#20152

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Fri, 27 Aug 2021 16:45:20 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sgb4r0$96a$1@newsreader4.netcologne.de>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com>
<5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com>
<Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com>
<sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net>
<2021Aug27.155339@mips.complang.tuwien.ac.at>
Injection-Date: Fri, 27 Aug 2021 16:45:20 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd7:22d2:0:7285:c2ff:fe6c:992d";
logging-data="9418"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Fri, 27 Aug 2021 16:45 UTC

Anton Ertl <anton@mips.complang.tuwien.ac.at> schrieb:

> It says "gemischtes Gatter" (mixed gate) on slide 18, whatever that
> means.

I think it means the or-and-or-invert logic that is shown.

[...]

> But if you look at slide 21, you see that the corresponding CMOS
> circuit also only has 10 transistors. The reason for the
> transformation to the non-CMOS 10-transistor circuit is to avoid
> having 3 PMOS transistors in series (stack height=3). Not sure why
> that is a problem for the C_out-computing circuit, but not for the
> S-computing part of the circuit shown on slide 22. It's not the
> propagation delay from C_in to C_out, because the 3 stacked PMOS
> transistors in the C_out circuit only have A and B as inputs.

It's three P transistors in series for the inverted C_out signal
as oppposed to two in the optimized version. Carry delay is
critical for any sort of adder.

I'm not quite sure I understand why it is labeled "Not CMOS",
though. Granted, the circuits do not follow the usual rule of
"parallel on one side, serial on the other", but is that
actually required for calling a circuit to be called CMOS,
or isn't it possible to deviate from that as long as the
signal logic is the same for both polarities?

Re: On diodes (answer to a poster at RWT.com)

<2021Aug27.190837@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20153&group=comp.arch#20153

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!news.swapon.de!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Fri, 27 Aug 2021 17:08:37 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 42
Distribution: world
Message-ID: <2021Aug27.190837@mips.complang.tuwien.ac.at>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com> <1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk> <34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at> <sgb4r0$96a$1@newsreader4.netcologne.de>
Injection-Info: reader02.eternal-september.org; posting-host="c7186496f997e847cab40f2f27332733";
logging-data="27221"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1//CKOpstO9LEgCCfZmpK1h"
Cancel-Lock: sha1:Tr53cZga5czNJ9cyki+BWxul/D4=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Fri, 27 Aug 2021 17:08 UTC

Thomas Koenig <tkoenig@netcologne.de> writes:
>Anton Ertl <anton@mips.complang.tuwien.ac.at> schrieb:
>
>> It says "gemischtes Gatter" (mixed gate) on slide 18, whatever that
>> means.
>
>I think it means the or-and-or-invert logic that is shown.

My guess is that it means one (mixed) gate for the whole
C_out-generating part rather than 4 or 5 pure gates. Note also the
occurence on slide 21.

>> But if you look at slide 21, you see that the corresponding CMOS
>> circuit also only has 10 transistors. The reason for the
>> transformation to the non-CMOS 10-transistor circuit is to avoid
>> having 3 PMOS transistors in series (stack height=3). Not sure why
>> that is a problem for the C_out-computing circuit, but not for the
>> S-computing part of the circuit shown on slide 22. It's not the
>> propagation delay from C_in to C_out, because the 3 stacked PMOS
>> transistors in the C_out circuit only have A and B as inputs.
>
>It's three P transistors in series for the inverted C_out signal
>as oppposed to two in the optimized version. Carry delay is
>critical for any sort of adder.

From carry-in to carry-out, yes. For the A and B inputs, less so.
It's interesting that in the S part the series of three PMOS
transistors also includes C_in. However, !S also depends on !C_out,
so when C_in comes in later than A and B, the path including C_out may
be slower than the third PMOS transistor in series.

>I'm not quite sure I understand why it is labeled "Not CMOS",
>though. Granted, the circuits do not follow the usual rule of
>"parallel on one side, serial on the other", but is that
>actually required for calling a circuit to be called CMOS,

<https://en.wikipedia.org/wiki/CMOS#Duality> suggests this.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: On diodes (answer to a poster at RWT.com)

<e6cb274f-d96e-4cf2-ab1d-3dc05b2975f4n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20155&group=comp.arch#20155

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:7207:: with SMTP id a7mr9490005qtp.32.1630086939672;
Fri, 27 Aug 2021 10:55:39 -0700 (PDT)
X-Received: by 2002:a05:6808:2114:: with SMTP id r20mr11800603oiw.110.1630086939458;
Fri, 27 Aug 2021 10:55:39 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.snarked.org!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 27 Aug 2021 10:55:39 -0700 (PDT)
In-Reply-To: <sgb4r0$96a$1@newsreader4.netcologne.de>
Injection-Info: google-groups.googlegroups.com; posting-host=104.59.204.55; posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 104.59.204.55
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de>
<iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at>
<sgb4r0$96a$1@newsreader4.netcologne.de>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e6cb274f-d96e-4cf2-ab1d-3dc05b2975f4n@googlegroups.com>
Subject: Re: On diodes (answer to a poster at RWT.com)
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Fri, 27 Aug 2021 17:55:39 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 30
 by: MitchAlsup - Fri, 27 Aug 2021 17:55 UTC

On Friday, August 27, 2021 at 11:45:22 AM UTC-5, Thomas Koenig wrote:
> Anton Ertl <an...@mips.complang.tuwien.ac.at> schrieb:
> > It says "gemischtes Gatter" (mixed gate) on slide 18, whatever that
> > means.
> I think it means the or-and-or-invert logic that is shown.
>
> [...]
> > But if you look at slide 21, you see that the corresponding CMOS
> > circuit also only has 10 transistors. The reason for the
> > transformation to the non-CMOS 10-transistor circuit is to avoid
> > having 3 PMOS transistors in series (stack height=3). Not sure why
> > that is a problem for the C_out-computing circuit, but not for the
> > S-computing part of the circuit shown on slide 22. It's not the
> > propagation delay from C_in to C_out, because the 3 stacked PMOS
> > transistors in the C_out circuit only have A and B as inputs.
> It's three P transistors in series for the inverted C_out signal
> as oppposed to two in the optimized version. Carry delay is
> critical for any sort of adder.
>
> I'm not quite sure I understand why it is labeled "Not CMOS",
> though. Granted, the circuits do not follow the usual rule of
> "parallel on one side, serial on the other", but is that
> actually required for calling a circuit to be called CMOS,
<
No, The serial on one side parallel on the other is the only
means where there is no constant crowbar current in the
gate {I.e., a direct path from Vdd to Gnd in non-transient
input condition.}
<
> or isn't it possible to deviate from that as long as the
> signal logic is the same for both polarities?

Re: On diodes (answer to a poster at RWT.com)

<Peh*xSLsy@news.chiark.greenend.org.uk>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20163&group=comp.arch#20163

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!aioe.org!nntp.terraraq.uk!nntp-feed.chiark.greenend.org.uk!ewrotcd!.POSTED!not-for-mail
From: theom+n...@chiark.greenend.org.uk (Theo Markettos)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: 27 Aug 2021 23:51:09 +0100 (BST)
Organization: University of Cambridge, England
Lines: 35
Distribution: world
Message-ID: <Peh*xSLsy@news.chiark.greenend.org.uk>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com> <1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk> <34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at> <sgb4r0$96a$1@newsreader4.netcologne.de> <2021Aug27.190837@mips.complang.tuwien.ac.at>
NNTP-Posting-Host: chiark.greenend.org.uk
X-Trace: chiark.greenend.org.uk 1630104671 9917 212.13.197.229 (27 Aug 2021 22:51:11 GMT)
X-Complaints-To: abuse@chiark.greenend.org.uk
NNTP-Posting-Date: Fri, 27 Aug 2021 22:51:11 +0000 (UTC)
User-Agent: tin/1.8.3-20070201 ("Scotasay") (UNIX) (Linux/3.16.0-11-amd64 (x86_64))
Originator: theom@chiark.greenend.org.uk ([212.13.197.229])
 by: Theo Markettos - Fri, 27 Aug 2021 22:51 UTC

Anton Ertl <anton@mips.complang.tuwien.ac.at> wrote:
> Thomas Koenig <tkoenig@netcologne.de> writes:
>
> >I'm not quite sure I understand why it is labeled "Not CMOS",
> >though. Granted, the circuits do not follow the usual rule of
> >"parallel on one side, serial on the other", but is that
> >actually required for calling a circuit to be called CMOS,
>
> <https://en.wikipedia.org/wiki/CMOS#Duality> suggests this.

Is that actually a necessity in real life?

For example, suppose I had a circuit where if A, B or C were high then the
output should be low. I can wire those up with three parallel NMOS
transistors between the output and Vss.

But then suppose that I know that if D goes low then the output should be
high. Furthermore I know that D never goes low when A, B or C are high. I
could use D to drive a PMOS between the output and Vdd.

That's an output signal that is always driven, assuming the implicit input
conditions are met. It is not fully specified, in the sense of being valid
for all inputs, but it could be as part of a wider system as generated by a
synthesis tool. And it saves transistors over fully matching the pairs.

In older CMOS technologies it might actually be about balanced in size,
given the ~3x higher mobility of NMOS over PMOS.

It seems like the duality is a concept which is useful in terms of thinking
about CMOS circuits (ie there's no implicit pullup/pulldown, signals must
always be driven, so every signal is wired to both a PMOS and an NMOS
transistor). But when there are corners to be cut, it seems like a pretty
obvious one to go for.

Theo

Re: On diodes (answer to a poster at RWT.com)

<ee838103-e7f4-451a-947d-8360095d47d7n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20165&group=comp.arch#20165

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:347:: with SMTP id r7mr386711qtw.339.1630108688152;
Fri, 27 Aug 2021 16:58:08 -0700 (PDT)
X-Received: by 2002:a9d:694c:: with SMTP id p12mr9923380oto.182.1630108687950;
Fri, 27 Aug 2021 16:58:07 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 27 Aug 2021 16:58:07 -0700 (PDT)
In-Reply-To: <Peh*xSLsy@news.chiark.greenend.org.uk>
Injection-Info: google-groups.googlegroups.com; posting-host=104.59.204.55; posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 104.59.204.55
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de>
<iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at>
<sgb4r0$96a$1@newsreader4.netcologne.de> <2021Aug27.190837@mips.complang.tuwien.ac.at>
<Peh*xSLsy@news.chiark.greenend.org.uk>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <ee838103-e7f4-451a-947d-8360095d47d7n@googlegroups.com>
Subject: Re: On diodes (answer to a poster at RWT.com)
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Fri, 27 Aug 2021 23:58:08 +0000
Content-Type: text/plain; charset="UTF-8"
 by: MitchAlsup - Fri, 27 Aug 2021 23:58 UTC

On Friday, August 27, 2021 at 5:51:14 PM UTC-5, Theo Markettos wrote:
> Anton Ertl <an...@mips.complang.tuwien.ac.at> wrote:
> > Thomas Koenig <tko...@netcologne.de> writes:
> >
> > >I'm not quite sure I understand why it is labeled "Not CMOS",
> > >though. Granted, the circuits do not follow the usual rule of
> > >"parallel on one side, serial on the other", but is that
> > >actually required for calling a circuit to be called CMOS,
> >
> > <https://en.wikipedia.org/wiki/CMOS#Duality> suggests this.
> Is that actually a necessity in real life?
>
> For example, suppose I had a circuit where if A, B or C were high then the
> output should be low. I can wire those up with three parallel NMOS
> transistors between the output and Vss.
>
> But then suppose that I know that if D goes low then the output should be
> high. Furthermore I know that D never goes low when A, B or C are high. I
> could use D to drive a PMOS between the output and Vdd.
>
> That's an output signal that is always driven, assuming the implicit input
> conditions are met. It is not fully specified, in the sense of being valid
> for all inputs, but it could be as part of a wider system as generated by a
> synthesis tool. And it saves transistors over fully matching the pairs.
>
> In older CMOS technologies it might actually be about balanced in size,
> given the ~3x higher mobility of NMOS over PMOS.
>
> It seems like the duality is a concept which is useful in terms of thinking
> about CMOS circuits (ie there's no implicit pullup/pulldown, signals must
> always be driven, so every signal is wired to both a PMOS and an NMOS
> transistor). But when there are corners to be cut, it seems like a pretty
> obvious one to go for.
<
This "duality" of which you speak is simply using deMorgan's laws.
>
> Theo

Re: On diodes (answer to a poster at RWT.com)

<sgc4fq$5id$1@z-news.wcss.wroc.pl>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20167&group=comp.arch#20167

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!usenet.goja.nl.eu.org!3.eu.feeder.erje.net!feeder.erje.net!feeder1.feed.usenet.farm!feed.usenet.farm!newsfeed.xs4all.nl!newsfeed8.news.xs4all.nl!news-out.netnews.com!news.alt.net!fdc3.netnews.com!peer01.ams1!peer.ams1.xlned.com!news.xlned.com!peer02.ams4!peer.am4.highwinds-media.com!news.highwinds-media.com!newsfeed.neostrada.pl!unt-exc-02.news.neostrada.pl!newsfeed.pionier.net.pl!pwr.wroc.pl!news.wcss.wroc.pl!not-for-mail
From: antis...@math.uni.wroc.pl
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sat, 28 Aug 2021 01:45:30 +0000 (UTC)
Organization: Politechnika Wroclawska
Lines: 39
Message-ID: <sgc4fq$5id$1@z-news.wcss.wroc.pl>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com> <2021Aug25.173027@mips.complang.tuwien.ac.at> <81bca21d-8976-490b-acb9-78558d1bad3cn@googlegroups.com> <2021Aug25.184509@mips.complang.tuwien.ac.at> <sg7fs7$474$1@dont-email.me> <a70175e1-88a5-40e6-9261-ac6d76328c18n@googlegroups.com>
NNTP-Posting-Host: hera.math.uni.wroc.pl
X-Trace: z-news.wcss.wroc.pl 1630115130 5709 156.17.86.1 (28 Aug 2021 01:45:30 GMT)
X-Complaints-To: abuse@news.pwr.wroc.pl
NNTP-Posting-Date: Sat, 28 Aug 2021 01:45:30 +0000 (UTC)
Cancel-Lock: sha1:QJ5PS4jqd2M+Cg6QdMbF1Wqxgr0=
User-Agent: tin/2.4.3-20181224 ("Glen Mhor") (UNIX) (Linux/4.19.0-10-amd64 (x86_64))
X-Received-Bytes: 3094
 by: antis...@math.uni.wroc.pl - Sat, 28 Aug 2021 01:45 UTC

Quadibloc <jsavard@ecn.ab.ca> wrote:
> On Thursday, August 26, 2021 at 1:29:13 AM UTC-6, David Brown wrote:
> > The Pentium 4
> > showed what you get when you use long pipelines to target bragging
> > rights of higher clocks speeds rather than actual real-world
> > performance.
>
> That's what I _thought_ Intel was up to at the time.
>
> However, since then I learned better. The higher clock speeds did
> actually reflect higher performance... in terms of _throughput_,
> the same way that having more cores means more performance.
>
> While a chip with twice the cores and the same clock speed can't
> perform a single thread any faster, it can perform twice the threads.
> A chip with a higher clock speed but more clocks per instructions is
> also able to perform additional threads... during the in-between
> clocks.
>
> And, unlike a multi-core chip, sometimes the additional parallel workload
> can belong to the same thread, thanks to out-of-order execution.

Hmm, IIRC hypethreading was after initial Pentium 4 design. And
Pentium 4 design was attempting to get high _single thread_
performance. One of Pentium 4 innovations was "early release"
and replay: instructions were passed to execution units
before it was known that arguments are available, and
re-issued if execution failed due to missing arguments.
My impression from reported benchmarks is that this mechanizm
wasted nontrivial part of execution capabilities, so would
be detrimental to good hyperthreaded throughput.

Concerning more general question of througput, one of Pentium 4
weaknesess was to small number of "fast" instructions, notably
shifts (or multiply) had to take slow path. Clearly, making
more slower cores gives better througput than one fast core.

--
Waldek Hebisch

Re: On diodes (answer to a poster at RWT.com)

<iou7ghFcpf7U1@mid.individual.net>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20168&group=comp.arch#20168

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!news.swapon.de!fu-berlin.de!uni-berlin.de!individual.net!not-for-mail
From: niklas.h...@tidorum.invalid (Niklas Holsti)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sat, 28 Aug 2021 10:38:56 +0300
Organization: Tidorum Ltd
Lines: 45
Message-ID: <iou7ghFcpf7U1@mid.individual.net>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com>
<5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com>
<Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com>
<sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net>
<2021Aug27.155339@mips.complang.tuwien.ac.at>
Mime-Version: 1.0
Content-Type: text/plain; charset=utf-8; format=flowed
Content-Transfer-Encoding: 7bit
X-Trace: individual.net J7/o82U4EqSW466xF5S/0ggqXxVnd31Gnv1an0S5XSu/RZ6crl
Cancel-Lock: sha1:4p54Urd9QDhG/gTq0AkrjCBhrBo=
User-Agent: Mozilla/5.0 (Macintosh; Intel Mac OS X 10.14; rv:78.0)
Gecko/20100101 Thunderbird/78.13.0
In-Reply-To: <2021Aug27.155339@mips.complang.tuwien.ac.at>
Content-Language: en-US
 by: Niklas Holsti - Sat, 28 Aug 2021 07:38 UTC

(Warning to readers: component-level electronic design is far from my
field of competence, so I may be wrong to an arbitrary amount.)

On 2021-08-27 16:53, Anton Ertl wrote:
> Niklas Holsti <niklas.holsti@tidorum.invalid> writes:
>> On 2021-08-27 9:50, Thomas Koenig wrote:
>>> If you look at, for example,
>>> https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf
>>> on slide 22 (in German) you will find a 24-transistor inverting full adder
>>> made up of 24 transistors. Page 18 will show you the implementation
>>> based on AOI logic.
>>>
>>> If you implemented the AOI logic with NAND and NOR gates, you would use
>>> many more transistors.
>>
>>
>> Hm. Slide 18, showing the AOI logic, also says "10+2" transistors for
>> the carry, and "14+2" transistors for the sum. That would be a total of
>> 28 transistors, not so many more than 24. But perhaps I misunderstand
>> slide 18, and its transistor counts do not reflect an implementation
>> built from gates?
>
> It says "gemischtes Gatter" (mixed gate) on slide 18, whatever that
> means. If I count 4 transistors for every 2-input gate, 2 for every
> 1-input gate, and 6 for every 3-input gate,

So you are assuming the number of transistors per gate that a CMOS gate
implementation needs, while I assumed the smaller numbers for a non-CMOS
implementation.

> the result is 18 transistors for the C_out part and 22 for the S
> part, totalling 40.

If you assume twice the number of transistors per gate, of course you
get twice the total number that I got.

It now seems to me that the point of the "optimized" circuit on slide 22
is that it gets rid of the complementary transistors that basic CMOS
gates need, and thus halves the total number of transistors for the
whole circuit, compared to a composition of basic CMOS gates. If so, it
could (partly) explain why complex logic could be built efficiently from
basic gates in non-CMOS technology, but is sub-optimal for CMOS.

Re: On diodes (answer to a poster at RWT.com)

<sgcve8$dov$2@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20170&group=comp.arch#20170

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sat, 28 Aug 2021 09:25:28 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sgcve8$dov$2@newsreader4.netcologne.de>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com>
<5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com>
<Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com>
<sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net>
<2021Aug27.155339@mips.complang.tuwien.ac.at>
<iou7ghFcpf7U1@mid.individual.net>
Injection-Date: Sat, 28 Aug 2021 09:25:28 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd7:22d2:0:7285:c2ff:fe6c:992d";
logging-data="14111"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sat, 28 Aug 2021 09:25 UTC

Niklas Holsti <niklas.holsti@tidorum.invalid> schrieb:
> (Warning to readers: component-level electronic design is far from my
> field of competence, so I may be wrong to an arbitrary amount.)
>
> On 2021-08-27 16:53, Anton Ertl wrote:
>> Niklas Holsti <niklas.holsti@tidorum.invalid> writes:
>>> On 2021-08-27 9:50, Thomas Koenig wrote:
>>>> If you look at, for example,
>>>> https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf
>>>> on slide 22 (in German) you will find a 24-transistor inverting full adder
>>>> made up of 24 transistors. Page 18 will show you the implementation
>>>> based on AOI logic.
>>>>
>>>> If you implemented the AOI logic with NAND and NOR gates, you would use
>>>> many more transistors.
>>>
>>>
>>> Hm. Slide 18, showing the AOI logic, also says "10+2" transistors for
>>> the carry, and "14+2" transistors for the sum. That would be a total of
>>> 28 transistors, not so many more than 24. But perhaps I misunderstand
>>> slide 18, and its transistor counts do not reflect an implementation
>>> built from gates?
>>
>> It says "gemischtes Gatter" (mixed gate) on slide 18, whatever that
>> means. If I count 4 transistors for every 2-input gate, 2 for every
>> 1-input gate, and 6 for every 3-input gate,
>

> So you are assuming the number of transistors per gate that a CMOS gate
> implementation needs, while I assumed the smaller numbers for a non-CMOS
> implementation.

[not addressed to me, but I'll reply anyway]

The examples slides I posted are about CMOS. It is clear that you can
save transistors going to a NMOS-only implementation, for example

>
>
>> the result is 18 transistors for the C_out part and 22 for the S
>> part, totalling 40.
>
>
> If you assume twice the number of transistors per gate, of course you
> get twice the total number that I got.

That is not what happened.

On slide 21, you can see on the left hand side a normal CMOS variant
for the generation of the inverted carry using 10 transistors.
On the top of slide 18, you see the "mixed gate" (in this case,
an "or-and-or-invert" gate) for doing the same thing which is
realized on on slide 21.

Realizing the mixed gate out of AND and OR gates in CMOS would have
taken far more transistors, as Anton explained.

You could realize the lower half of what is on slide 21 in NMOS
only and would need five transistors plus one pullup resistor
(or one depletion mode transistor fulfilling the same role).

If you realized the OAOI gate on the top of slide 18 using NMOS
using just AND and OR (or NAND and NOR) you would need far mor
transistors than five plus one, including more pullup resistors
respective depletion load transistors (I didn't count).

> It now seems to me that the point of the "optimized" circuit on slide 22
> is that it gets rid of the complementary transistors that basic CMOS
> gates need,

No. What the optimized circuit on slide 22 (or the left hand of
slide 21) does is to use an (under the circumstances) logically
equivalent, but different circuit on the positive side in order
to avoid a gate delay for the carry propagation.

Slide 21 explains the transoformation. Let me just run the
text though DeepL for a translation:

* The carry is given by Cout = AB + (A+B)Cin.
* This function can be implemented with the mixed gate Y = !(AB+(A+B)Cin).
* Problem: 3 PMOS on top of each other ('Stack height' = 3)
* Since two of each of the 5 inputs of the Y gate are identical, not all input combinations occur!

* The PMOS branch can be transformed:
(!A+!B)(!A!B+!C) = !A(!A!B+!C) + !B(!A!B+!C)
= !A!B+!A!C+!A!B+!B!C = !A!B + (!A+!B)!C.
* This leads to a NOT-CMOS gate:

.... where we are currently depating if this is indeed "not CMOS"
or not. It certainly fulfills the logical requirement for CMOS,
but not the normal design principle.

Re: On diodes (answer to a poster at RWT.com)

<sgcvto$g03$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20171&group=comp.arch#20171

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sat, 28 Aug 2021 09:33:44 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sgcvto$g03$1@newsreader4.netcologne.de>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com>
<5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<2021Aug25.173027@mips.complang.tuwien.ac.at>
<81bca21d-8976-490b-acb9-78558d1bad3cn@googlegroups.com>
<2021Aug25.184509@mips.complang.tuwien.ac.at> <sg7fs7$474$1@dont-email.me>
<a70175e1-88a5-40e6-9261-ac6d76328c18n@googlegroups.com>
<sgc4fq$5id$1@z-news.wcss.wroc.pl>
Injection-Date: Sat, 28 Aug 2021 09:33:44 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd7:22d2:0:7285:c2ff:fe6c:992d";
logging-data="16387"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sat, 28 Aug 2021 09:33 UTC

antispam@math.uni.wroc.pl <antispam@math.uni.wroc.pl> schrieb:

> Clearly, making
> more slower cores gives better througput than one fast core.

Depends very much on the application.
>

Re: On diodes (answer to a poster at RWT.com)

<Oeh*sQOsy@news.chiark.greenend.org.uk>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20172&group=comp.arch#20172

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!newsfeed.xs3.de!io.xs3.de!nntp-feed.chiark.greenend.org.uk!ewrotcd!.POSTED!not-for-mail
From: theom+n...@chiark.greenend.org.uk (Theo Markettos)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: 28 Aug 2021 13:21:28 +0100 (BST)
Organization: University of Cambridge, England
Lines: 10
Message-ID: <Oeh*sQOsy@news.chiark.greenend.org.uk>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com> <1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk> <34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at> <sgb4r0$96a$1@newsreader4.netcologne.de> <2021Aug27.190837@mips.complang.tuwien.ac.at> <Peh*xSLsy@news.chiark.greenend.org.uk> <ee838103-e7f4-451a-947d-8360095d47d7n@googlegroups.com>
NNTP-Posting-Host: chiark.greenend.org.uk
X-Trace: chiark.greenend.org.uk 1630153290 17175 212.13.197.229 (28 Aug 2021 12:21:30 GMT)
X-Complaints-To: abuse@chiark.greenend.org.uk
NNTP-Posting-Date: Sat, 28 Aug 2021 12:21:30 +0000 (UTC)
User-Agent: tin/1.8.3-20070201 ("Scotasay") (UNIX) (Linux/3.16.0-11-amd64 (x86_64))
Originator: theom@chiark.greenend.org.uk ([212.13.197.229])
 by: Theo Markettos - Sat, 28 Aug 2021 12:21 UTC

MitchAlsup <MitchAlsup@aol.com> wrote:
> This "duality" of which you speak is simply using deMorgan's laws.

DeMorgan's laws operate in the two-level logic of 0 and 1. CMOS transistors
operate in the four-level logic of 0, 1, z and x. The argument goes that
you can optimise a circuit at the transistor level by making judicious use
of z and x, which breaks the 1:1 correspondence between NMOS and PMOS
transistors.

Theo

Re: On diodes (answer to a poster at RWT.com)

<%jsWI.18116$T_8.5170@fx48.iad>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20175&group=comp.arch#20175

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsfeed.xs4all.nl!newsfeed8.news.xs4all.nl!peer01.ams1!peer.ams1.xlned.com!news.xlned.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx48.iad.POSTED!not-for-mail
From: ThatWoul...@thevillage.com (EricP)
User-Agent: Thunderbird 2.0.0.24 (Windows/20100228)
MIME-Version: 1.0
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com> <2021Aug25.173027@mips.complang.tuwien.ac.at> <81bca21d-8976-490b-acb9-78558d1bad3cn@googlegroups.com> <2021Aug25.184509@mips.complang.tuwien.ac.at> <sg7fs7$474$1@dont-email.me> <a70175e1-88a5-40e6-9261-ac6d76328c18n@googlegroups.com> <sgc4fq$5id$1@z-news.wcss.wroc.pl>
In-Reply-To: <sgc4fq$5id$1@z-news.wcss.wroc.pl>
Content-Type: text/plain; charset=ISO-8859-1; format=flowed
Content-Transfer-Encoding: 7bit
Lines: 61
Message-ID: <%jsWI.18116$T_8.5170@fx48.iad>
X-Complaints-To: abuse@UsenetServer.com
NNTP-Posting-Date: Sat, 28 Aug 2021 15:08:43 UTC
Date: Sat, 28 Aug 2021 11:08:17 -0400
X-Received-Bytes: 3902
 by: EricP - Sat, 28 Aug 2021 15:08 UTC

antispam@math.uni.wroc.pl wrote:
> Quadibloc <jsavard@ecn.ab.ca> wrote:
>> On Thursday, August 26, 2021 at 1:29:13 AM UTC-6, David Brown wrote:
>>> The Pentium 4
>>> showed what you get when you use long pipelines to target bragging
>>> rights of higher clocks speeds rather than actual real-world
>>> performance.
>> That's what I _thought_ Intel was up to at the time.
>>
>> However, since then I learned better. The higher clock speeds did
>> actually reflect higher performance... in terms of _throughput_,
>> the same way that having more cores means more performance.
>>
>> While a chip with twice the cores and the same clock speed can't
>> perform a single thread any faster, it can perform twice the threads.
>> A chip with a higher clock speed but more clocks per instructions is
>> also able to perform additional threads... during the in-between
>> clocks.
>>
>> And, unlike a multi-core chip, sometimes the additional parallel workload
>> can belong to the same thread, thanks to out-of-order execution.
>
> Hmm, IIRC hypethreading was after initial Pentium 4 design. And
> Pentium 4 design was attempting to get high _single thread_
> performance. One of Pentium 4 innovations was "early release"
> and replay: instructions were passed to execution units
> before it was known that arguments are available, and
> re-issued if execution failed due to missing arguments.
> My impression from reported benchmarks is that this mechanizm
> wasted nontrivial part of execution capabilities, so would
> be detrimental to good hyperthreaded throughput.

This effect was called a "replay cyclone/tornado/typhoon".

IIRC the wire transit time from the scheduler to function units was
more than 1 clock so it performed "speculative instruction scheduling"
and guessed when a cache hit would be returned or that resources
would be available, and launched the uOp in anticipation.
Then if the load missed or there was a resource conflict,
the uOp at a minimum all its dependents that also were
speculatively launched had to be replayed.

This forms a positive feedback loop that results in replays over and over.

Tornado Warning: the Perils of Selective Replay
in Multithreaded Processors, 2005
http://web.cs.ucla.edu/~reinman/mars/papers/ICS-05-Zephyr.pdf

The Calm Before the Storm: Reducing Replays in
the Cyclone Scheduler, 2004
http://web.cs.ucla.edu/~reinman/mars/papers/PACS-04-Cyclone.pdf

There was also the issue of a big centralized scheduler trying
to take all the different considerations into account.
They became so complex they had to be pipelined.

This was one reason I preferred a design wherein distributed reservation
stations each make their own local simple decision on scheduling.

Re: On diodes (answer to a poster at RWT.com)

<2021Aug28.183621@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20176&group=comp.arch#20176

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sat, 28 Aug 2021 16:36:21 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 21
Message-ID: <2021Aug28.183621@mips.complang.tuwien.ac.at>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at> <sgb4r0$96a$1@newsreader4.netcologne.de> <2021Aug27.190837@mips.complang.tuwien.ac.at> <Peh*xSLsy@news.chiark.greenend.org.uk> <ee838103-e7f4-451a-947d-8360095d47d7n@googlegroups.com> <Oeh*sQOsy@news.chiark.greenend.org.uk>
Injection-Info: reader02.eternal-september.org; posting-host="f032e099cc04236d77ed78cc1e1e9a7f";
logging-data="1171"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+NZHTeiSbhDHcRAnLTk5ro"
Cancel-Lock: sha1:5QqSbr3d/OEjPYfDONMtpQ8KujI=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Sat, 28 Aug 2021 16:36 UTC

Theo Markettos <theom+news@chiark.greenend.org.uk> writes:
>MitchAlsup <MitchAlsup@aol.com> wrote:
>> This "duality" of which you speak is simply using deMorgan's laws.
>
>DeMorgan's laws operate in the two-level logic of 0 and 1. CMOS transistors
>operate in the four-level logic of 0, 1, z and x. The argument goes that
>you can optimise a circuit at the transistor level by making judicious use
>of z and x, which breaks the 1:1 correspondence between NMOS and PMOS
>transistors.

However, in the present case the transformation on slide 21 of
<https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf>
just uses the levels 0 and 1 for the output, and yet Professor Fischer
calls the result non-CMOS. There are some intermediate
high-impendance (Z?) levels in some places in both circuits, so that
cannot be the reason for calling it non-CMOS.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: On diodes (answer to a poster at RWT.com)

<k2uWI.1794$VZ1.638@fx08.iad>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20177&group=comp.arch#20177

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsreader4.netcologne.de!news.netcologne.de!peer03.ams1!peer.ams1.xlned.com!news.xlned.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx08.iad.POSTED!not-for-mail
From: ThatWoul...@thevillage.com (EricP)
User-Agent: Thunderbird 2.0.0.24 (Windows/20100228)
MIME-Version: 1.0
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de> <iorn4oFsj98U1@mid.individual.net> <2021Aug27.155339@mips.complang.tuwien.ac.at> <sgb4r0$96a$1@newsreader4.netcologne.de> <2021Aug27.190837@mips.complang.tuwien.ac.at> <Peh*xSLsy@news.chiark.greenend.org.uk> <ee838103-e7f4-451a-947d-8360095d47d7n@googlegroups.com> <Oeh*sQOsy@news.chiark.greenend.org.uk> <2021Aug28.183621@mips.complang.tuwien.ac.at>
In-Reply-To: <2021Aug28.183621@mips.complang.tuwien.ac.at>
Content-Type: text/plain; charset=ISO-8859-1; format=flowed
Content-Transfer-Encoding: 7bit
Lines: 28
Message-ID: <k2uWI.1794$VZ1.638@fx08.iad>
X-Complaints-To: abuse@UsenetServer.com
NNTP-Posting-Date: Sat, 28 Aug 2021 17:06:24 UTC
Date: Sat, 28 Aug 2021 13:06:12 -0400
X-Received-Bytes: 2541
 by: EricP - Sat, 28 Aug 2021 17:06 UTC

Anton Ertl wrote:
> Theo Markettos <theom+news@chiark.greenend.org.uk> writes:
>> MitchAlsup <MitchAlsup@aol.com> wrote:
>>> This "duality" of which you speak is simply using deMorgan's laws.
>> DeMorgan's laws operate in the two-level logic of 0 and 1. CMOS transistors
>> operate in the four-level logic of 0, 1, z and x. The argument goes that
>> you can optimise a circuit at the transistor level by making judicious use
>> of z and x, which breaks the 1:1 correspondence between NMOS and PMOS
>> transistors.
>
> However, in the present case the transformation on slide 21 of
> <https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf>
> just uses the levels 0 and 1 for the output, and yet Professor Fischer
> calls the result non-CMOS. There are some intermediate
> high-impendance (Z?) levels in some places in both circuits, so that
> cannot be the reason for calling it non-CMOS.
>
> - anton

I looked through a bunch of CMOS PDF books and the ones that
mention it at all most commonly call this AOI Logic (AND-OR-INVERT)
or OAI Logic (OR-AND-INVERT). Compound/complex gates was also used.

They appear to treat it as a normal optimization at the
standard cell and transistor circuit synthesis design level.
I did not see any reference to it as "not cmos".

Re: On diodes (answer to a poster at RWT.com)

<sgfs3t$dl9$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20182&group=comp.arch#20182

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sun, 29 Aug 2021 11:47:09 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sgfs3t$dl9$1@newsreader4.netcologne.de>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com>
<5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com>
<Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com>
<sga1uu$hbi$2@newsreader4.netcologne.de>
<633a381a-d2d3-4604-b448-2b87f4bb0c08n@googlegroups.com>
Injection-Date: Sun, 29 Aug 2021 11:47:09 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd7:22d2:0:7285:c2ff:fe6c:992d";
logging-data="13993"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 29 Aug 2021 11:47 UTC

MitchAlsup <MitchAlsup@aol.com> schrieb:
> On Friday, August 27, 2021 at 1:50:09 AM UTC-5, Thomas Koenig wrote:
>> Quadibloc <jsa...@ecn.ab.ca> schrieb:
>> > On Thursday, August 26, 2021 at 5:50:35 AM UTC-6, Theo Markettos wrote:
>> >> Quadibloc <jsa...@ecn.ab.ca> wrote:
>> >> > On Wednesday, August 25, 2021 at 8:57:58 AM UTC-6, vasco...@gmail.com wrote:
>> >
>> >> > > Are processors still designed on top of NAND and NOR gates or what?
>> >
>> >> > Yes. Very much so. NAND and NOR gates are what you build from transistors in
>> >> > CMOS.
>> >
>> >> Yes and no. You /can/ build NAND and NOR gates from transistors, and they
>> >> do get used a lot, but for the last few decades the building blocks have
>> >> been 'standard cells'.
>> >
>> > True, _except_ for highly-optimized designs like the microprocessors from
>> > AMD and Intel. But since standard cells are themselves built from NAND
>> > and NOR gates,
>> Certainly not, we are out of the age of the Apollo guidance computer.
>>
>> If you look at, for example,
>> https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf
>> on slide 22 (in German) you will find a 24-transistor inverting full adder
>> made up of 24 transistors. Page 18 will show you the implementation
>> based on AOI logic.
><
> What you find on page 22 is a (3-2)-compressor (A.K.A. Full adder) with 2 gates of delay.

I'm not sure how to count a gate delay, but I looked at this
some more. Assuming that A and B are already present, there
is only a single transistor that needs to be switched for
the inverted carry out.

If you make a ripple-carry adder out of this, assume that you want
a carry-in, propagate the inverted carry and add an inverter for
the sum for bit 0,2,4, ... and an inverter for the inputs A1, B1,
A3, B3, ... the number of transistor delays n until the result
is complete is

bits n
1 4
2 5
4 6
6 8
8 10
16 18

The inverted carry has a fan-out of two in that case.

How many gate delays would this translate to?

Re: On diodes (answer to a poster at RWT.com)

<921b6007-eefe-4786-86be-b23f2711a994n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20183&group=comp.arch#20183

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:7155:: with SMTP id h21mr16819256qtp.231.1630243679919;
Sun, 29 Aug 2021 06:27:59 -0700 (PDT)
X-Received: by 2002:a54:4197:: with SMTP id 23mr9229942oiy.122.1630243679760;
Sun, 29 Aug 2021 06:27:59 -0700 (PDT)
Path: i2pn2.org!i2pn.org!paganini.bofh.team!usenet.pasdenom.info!usenet-fr.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 29 Aug 2021 06:27:59 -0700 (PDT)
In-Reply-To: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=96.241.172.78; posting-account=6JNn0QoAAAD-Scrkl0ClrfutZTkrOS9S
NNTP-Posting-Host: 96.241.172.78
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <921b6007-eefe-4786-86be-b23f2711a994n@googlegroups.com>
Subject: Re: On diodes (answer to a poster at RWT.com)
From: paaroncl...@gmail.com (Paul A. Clayton)
Injection-Date: Sun, 29 Aug 2021 13:27:59 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: Paul A. Clayton - Sun, 29 Aug 2021 13:27 UTC

On Wednesday, August 25, 2021 at 5:45:17 AM UTC-4, vasco...@gmail.com wrote:
[snip]
> I miss anonymous posting in the main RWT.com threads. *sniff*

I did not notice any change in posting requirements. I would prefer people
post under pseudonyms rather than truly anonymously (even anon, anon2,
and Anon are not as easily recognized). (Faking ID has not been a problem
there; most fakes would be fairly quickly detected an deleted, but no
falsification seems better.)

(Since I have no reason to conceal my identity, I use my name. Those
working in the field could have reason for not using their real names, if
only to avoid having to include a disclaimer in every post that the information
is not representative of their employers (and being able to be a little less
restrained in communication — not violating trade secrets or bad-mouthing
the employer but writing personal opinion as personal opinion).)

What prevents anonymous posting?

Re: On diodes (answer to a poster at RWT.com)

<2021Aug29.160857@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20184&group=comp.arch#20184

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sun, 29 Aug 2021 14:08:57 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 15
Distribution: world
Message-ID: <2021Aug29.160857@mips.complang.tuwien.ac.at>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com> <1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk> <34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de> <633a381a-d2d3-4604-b448-2b87f4bb0c08n@googlegroups.com> <sgfs3t$dl9$1@newsreader4.netcologne.de>
Injection-Info: reader02.eternal-september.org; posting-host="2967707a536ac1918e421e1130f4a75b";
logging-data="21286"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX18onb66fXCPBhYdtFull+HZ"
Cancel-Lock: sha1:Up/KTQACqsZ/8bWTIpJHzVJAMNQ=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Sun, 29 Aug 2021 14:08 UTC

Thomas Koenig <tkoenig@netcologne.de> writes:
>The inverted carry has a fan-out of two in that case.

I count 6 transistors on page 22 where C_in is used, so for a
ripple-carry-adder this looks to me like a fan-out of 6.

>How many gate delays would this translate to?

The usual metric is an inverter with a fan-out of 4 (FO4), so this is
slower than one FO4 gate.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: On diodes (answer to a poster at RWT.com)

<44c1295c-706c-440c-bd43-d3a3854384a4n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20185&group=comp.arch#20185

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a37:688f:: with SMTP id d137mr18308049qkc.3.1630255094808;
Sun, 29 Aug 2021 09:38:14 -0700 (PDT)
X-Received: by 2002:a9d:1469:: with SMTP id h96mr16004868oth.82.1630255094578;
Sun, 29 Aug 2021 09:38:14 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 29 Aug 2021 09:38:14 -0700 (PDT)
In-Reply-To: <sgfs3t$dl9$1@newsreader4.netcologne.de>
Injection-Info: google-groups.googlegroups.com; posting-host=104.59.204.55; posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 104.59.204.55
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de>
<633a381a-d2d3-4604-b448-2b87f4bb0c08n@googlegroups.com> <sgfs3t$dl9$1@newsreader4.netcologne.de>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <44c1295c-706c-440c-bd43-d3a3854384a4n@googlegroups.com>
Subject: Re: On diodes (answer to a poster at RWT.com)
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sun, 29 Aug 2021 16:38:14 +0000
Content-Type: text/plain; charset="UTF-8"
 by: MitchAlsup - Sun, 29 Aug 2021 16:38 UTC

On Sunday, August 29, 2021 at 6:47:12 AM UTC-5, Thomas Koenig wrote:
> MitchAlsup <Mitch...@aol.com> schrieb:
> > On Friday, August 27, 2021 at 1:50:09 AM UTC-5, Thomas Koenig wrote:
> >> Quadibloc <jsa...@ecn.ab.ca> schrieb:
> >> > On Thursday, August 26, 2021 at 5:50:35 AM UTC-6, Theo Markettos wrote:
> >> >> Quadibloc <jsa...@ecn.ab.ca> wrote:
> >> >> > On Wednesday, August 25, 2021 at 8:57:58 AM UTC-6, vasco...@gmail.com wrote:
> >> >
> >> >> > > Are processors still designed on top of NAND and NOR gates or what?
> >> >
> >> >> > Yes. Very much so. NAND and NOR gates are what you build from transistors in
> >> >> > CMOS.
> >> >
> >> >> Yes and no. You /can/ build NAND and NOR gates from transistors, and they
> >> >> do get used a lot, but for the last few decades the building blocks have
> >> >> been 'standard cells'.
> >> >
> >> > True, _except_ for highly-optimized designs like the microprocessors from
> >> > AMD and Intel. But since standard cells are themselves built from NAND
> >> > and NOR gates,
> >> Certainly not, we are out of the age of the Apollo guidance computer.
> >>
> >> If you look at, for example,
> >> https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf
> >> on slide 22 (in German) you will find a 24-transistor inverting full adder
> >> made up of 24 transistors. Page 18 will show you the implementation
> >> based on AOI logic.
> ><
> > What you find on page 22 is a (3-2)-compressor (A.K.A. Full adder) with 2 gates of delay.
<
> I'm not sure how to count a gate delay, but I looked at this
> some more. Assuming that A and B are already present, there
> is only a single transistor that needs to be switched for
> the inverted carry out.
<
The Cin signal created the !Cout signal and !Cout is used to create !S
This is 2 inversions and thus 2 gates of delay.
>
> If you make a ripple-carry adder out of this, assume that you want
> a carry-in, propagate the inverted carry and add an inverter for
> the sum for bit 0,2,4, ... and an inverter for the inputs A1, B1,
> A3, B3, ... the number of transistor delays n until the result
> is complete is
>
> bits n
> 1 4
> 2 5
> 4 6
> 6 8
> 8 10
> 16 18
>
> The inverted carry has a fan-out of two in that case.
>
> How many gate delays would this translate to?

Re: On diodes (answer to a poster at RWT.com)

<b6a027ec-f20f-4ab7-ae3f-08ede1f2d2b5n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20186&group=comp.arch#20186

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:c92:: with SMTP id q18mr17750146qki.331.1630255544895;
Sun, 29 Aug 2021 09:45:44 -0700 (PDT)
X-Received: by 2002:a05:6808:14c5:: with SMTP id f5mr3821162oiw.84.1630255544622;
Sun, 29 Aug 2021 09:45:44 -0700 (PDT)
Path: i2pn2.org!i2pn.org!paganini.bofh.team!usenet.pasdenom.info!usenet-fr.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 29 Aug 2021 09:45:44 -0700 (PDT)
In-Reply-To: <2021Aug29.160857@mips.complang.tuwien.ac.at>
Injection-Info: google-groups.googlegroups.com; posting-host=104.59.204.55; posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 104.59.204.55
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de>
<633a381a-d2d3-4604-b448-2b87f4bb0c08n@googlegroups.com> <sgfs3t$dl9$1@newsreader4.netcologne.de>
<2021Aug29.160857@mips.complang.tuwien.ac.at>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <b6a027ec-f20f-4ab7-ae3f-08ede1f2d2b5n@googlegroups.com>
Subject: Re: On diodes (answer to a poster at RWT.com)
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sun, 29 Aug 2021 16:45:44 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: MitchAlsup - Sun, 29 Aug 2021 16:45 UTC

On Sunday, August 29, 2021 at 9:15:16 AM UTC-5, Anton Ertl wrote:
> Thomas Koenig <tko...@netcologne.de> writes:
> >The inverted carry has a fan-out of two in that case.
<
> I count 6 transistors on page 22 where C_in is used, so for a
> ripple-carry-adder this looks to me like a fan-out of 6.
<
Generally an input touches at least 1 p-channel and 1 n-channel
So, I see fan in of 3 and thus fan out of 3 for the previous stage.
<
> >How many gate delays would this translate to?
<
> The usual metric is an inverter with a fan-out of 4 (FO4), so this is
> slower than one FO4 gate.
<
The usual metric is a 4-input NAND driving 4 inputs of other gates.
Generally an inverter is 4× faster than this std. gate.
<
> - anton
> --
> 'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
> Mitch Alsup, <c17fcd89-f024-40e7...@googlegroups.com>

Re: On diodes (answer to a poster at RWT.com)

<sggdmv$qik$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20187&group=comp.arch#20187

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!aioe.org!news.uzoreto.com!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sun, 29 Aug 2021 16:47:27 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sggdmv$qik$1@newsreader4.netcologne.de>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com>
<5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com>
<Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com>
<sga1uu$hbi$2@newsreader4.netcologne.de>
<633a381a-d2d3-4604-b448-2b87f4bb0c08n@googlegroups.com>
<sgfs3t$dl9$1@newsreader4.netcologne.de>
<2021Aug29.160857@mips.complang.tuwien.ac.at>
Injection-Date: Sun, 29 Aug 2021 16:47:27 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd7:22d2:0:7285:c2ff:fe6c:992d";
logging-data="27220"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 29 Aug 2021 16:47 UTC

Anton Ertl <anton@mips.complang.tuwien.ac.at> schrieb:
> Thomas Koenig <tkoenig@netcologne.de> writes:
>>The inverted carry has a fan-out of two in that case.
>
> I count 6 transistors on page 22 where C_in is used, so for a
> ripple-carry-adder this looks to me like a fan-out of 6.

By that count, a CMOS inverter driving a single inverter would
have a fan-out of two (because it drives both the p and the
n transistor), and I think that's sort of the definition
of a fan-out of one.

So, counting only the transistors on one side, I get to a fan-out
of three, which would mean it would be a bit faster than a FO4
inverter. That doesn't sound bad (if it is indeed correct).

Re: On diodes (answer to a poster at RWT.com)

<sggdr1$qik$2@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20188&group=comp.arch#20188

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sun, 29 Aug 2021 16:49:37 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sggdr1$qik$2@newsreader4.netcologne.de>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com>
<8837d585-222c-46fd-b23a-d775a93efcd4n@googlegroups.com>
<5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com>
<1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com>
<Peh*baEsy@news.chiark.greenend.org.uk>
<34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com>
<sga1uu$hbi$2@newsreader4.netcologne.de>
<633a381a-d2d3-4604-b448-2b87f4bb0c08n@googlegroups.com>
<sgfs3t$dl9$1@newsreader4.netcologne.de>
<44c1295c-706c-440c-bd43-d3a3854384a4n@googlegroups.com>
Injection-Date: Sun, 29 Aug 2021 16:49:37 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd7-22d2-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd7:22d2:0:7285:c2ff:fe6c:992d";
logging-data="27220"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 29 Aug 2021 16:49 UTC

MitchAlsup <MitchAlsup@aol.com> schrieb:
> On Sunday, August 29, 2021 at 6:47:12 AM UTC-5, Thomas Koenig wrote:
>> MitchAlsup <Mitch...@aol.com> schrieb:
>> > On Friday, August 27, 2021 at 1:50:09 AM UTC-5, Thomas Koenig wrote:
>> >> Quadibloc <jsa...@ecn.ab.ca> schrieb:
>> >> > On Thursday, August 26, 2021 at 5:50:35 AM UTC-6, Theo Markettos wrote:
>> >> >> Quadibloc <jsa...@ecn.ab.ca> wrote:
>> >> >> > On Wednesday, August 25, 2021 at 8:57:58 AM UTC-6, vasco...@gmail.com wrote:
>> >> >
>> >> >> > > Are processors still designed on top of NAND and NOR gates or what?
>> >> >
>> >> >> > Yes. Very much so. NAND and NOR gates are what you build from transistors in
>> >> >> > CMOS.
>> >> >
>> >> >> Yes and no. You /can/ build NAND and NOR gates from transistors, and they
>> >> >> do get used a lot, but for the last few decades the building blocks have
>> >> >> been 'standard cells'.
>> >> >
>> >> > True, _except_ for highly-optimized designs like the microprocessors from
>> >> > AMD and Intel. But since standard cells are themselves built from NAND
>> >> > and NOR gates,
>> >> Certainly not, we are out of the age of the Apollo guidance computer.
>> >>
>> >> If you look at, for example,
>> >> https://sus.ziti.uni-heidelberg.de/Lehre/WS1617_DST/DST_Fischer_06_Einfache_Bloecke_FF.pptx.pdf
>> >> on slide 22 (in German) you will find a 24-transistor inverting full adder
>> >> made up of 24 transistors. Page 18 will show you the implementation
>> >> based on AOI logic.
>> ><
>> > What you find on page 22 is a (3-2)-compressor (A.K.A. Full adder) with 2 gates of delay.
><
>> I'm not sure how to count a gate delay, but I looked at this
>> some more. Assuming that A and B are already present, there
>> is only a single transistor that needs to be switched for
>> the inverted carry out.
><
> The Cin signal created the !Cout signal and !Cout is used to create !S
> This is 2 inversions and thus 2 gates of delay.

That is clear, I think.

What I was interested in is the delay for carry propagation if
you put a few of these together for a ripple-carry adder.

Re: On diodes (answer to a poster at RWT.com)

<2021Aug29.191258@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20189&group=comp.arch#20189

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!aioe.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: On diodes (answer to a poster at RWT.com)
Date: Sun, 29 Aug 2021 17:12:58 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 19
Distribution: world
Message-ID: <2021Aug29.191258@mips.complang.tuwien.ac.at>
References: <1f6c5073-311a-43aa-96bc-f310a1a48830n@googlegroups.com> <5d28ceec-ec25-4e89-ab31-0b95d5723d31n@googlegroups.com> <1736b6a4-a8f3-4be2-b288-f25f215b72den@googlegroups.com> <Peh*baEsy@news.chiark.greenend.org.uk> <34c1108d-e1b6-4b69-8f0c-24fa8809a396n@googlegroups.com> <sga1uu$hbi$2@newsreader4.netcologne.de> <633a381a-d2d3-4604-b448-2b87f4bb0c08n@googlegroups.com> <sgfs3t$dl9$1@newsreader4.netcologne.de> <2021Aug29.160857@mips.complang.tuwien.ac.at>
Injection-Info: reader02.eternal-september.org; posting-host="2967707a536ac1918e421e1130f4a75b";
logging-data="12421"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/BNE8vkfgWPJwniw9WbkHs"
Cancel-Lock: sha1:sej4Ew+q8r5RmTSdt848Ur21iuk=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Sun, 29 Aug 2021 17:12 UTC

anton@mips.complang.tuwien.ac.at (Anton Ertl) writes:
>Thomas Koenig <tkoenig@netcologne.de> writes:
>>The inverted carry has a fan-out of two in that case.
>
>I count 6 transistors on page 22 where C_in is used, so for a
>ripple-carry-adder this looks to me like a fan-out of 6.

Plus two more that are used directly in the S-computing part of the
circuit (then still called C_out).

However, you need to drive only two transistors for getting the C_in
for the next full adder. So you could drive the other 6 with an
intermediary inverter, reducing the fan-out of the C_out circuit to
two circuits (4 transistors).

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Pages:12
server_pubkey.txt

rocksolid light 0.9.8
clearnet tor