Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

19 May, 2024: Line wrapping has been changed to be more consistent with Usenet standards.
 If you find that it is broken please let me know here rocksolid.nodes.help


devel / comp.arch / Re: Concertina II... again?

SubjectAuthor
* Concertina II... again?Quadibloc
+* Re: Concertina II... again?MitchAlsup
|+* Re: Concertina II... again?Quadibloc
||`* Re: Concertina II... again?MitchAlsup
|| `* Re: Concertina II... again?Quadibloc
||  +* Re: Concertina II... again?Quadibloc
||  |`* Re: Concertina II... again?Quadibloc
||  | `- Re: Concertina II... again?Quadibloc
||  `- Re: Concertina II... again?Quadibloc
|+* Re: Concertina II... again?Quadibloc
||+* Re: Concertina II... again?Thomas Koenig
|||+* Re: Concertina II... again?Quadibloc
||||+- Re: Concertina II... again?Quadibloc
||||`- Re: Concertina II... again?Quadibloc
|||+- Re: Concertina II... again?MitchAlsup
|||`- Re: Concertina II... again?BGB
||`* Re: Concertina II... again?MitchAlsup
|| +* Re: Concertina II... again?Quadibloc
|| |`* Re: Concertina II... again?MitchAlsup
|| | `* Re: Concertina II... again?Quadibloc
|| |  `* Re: Concertina II... again?MitchAlsup
|| |   +* Re: Concertina II... again?Quadibloc
|| |   |`* Re: Concertina II... again?MitchAlsup
|| |   | +* Re: Concertina II... again?Quadibloc
|| |   | |`* Re: Concertina II... again?MitchAlsup
|| |   | | `* Re: Concertina II... again?Quadibloc
|| |   | |  `* Re: Concertina II... again?Quadibloc
|| |   | |   `- Re: Concertina II... again?Quadibloc
|| |   | +- Re: Concertina II... again?Quadibloc
|| |   | `* Re: Concertina II... again?Quadibloc
|| |   |  +- Re: Concertina II... again?MitchAlsup
|| |   |  +- Re: Concertina II... again?MitchAlsup
|| |   |  `* Re: Concertina II... again?Quadibloc
|| |   |   +* Re: Concertina II... again?robf...@gmail.com
|| |   |   |+- Re: Concertina II... again?Quadibloc
|| |   |   |`* Re: Concertina II... again?MitchAlsup
|| |   |   | `- Re: Concertina II... again?Quadibloc
|| |   |   `* Re: Concertina II... again?Quadibloc
|| |   |    `* Re: Concertina II... again?Quadibloc
|| |   |     `* Re: Concertina II... again?Quadibloc
|| |   |      +* Re: Concertina II... again?Quadibloc
|| |   |      |`- Re: Concertina II... again?Quadibloc
|| |   |      +* Re: Concertina II... again?MitchAlsup
|| |   |      |`* Re: Concertina II... again?Quadibloc
|| |   |      | +* Re: Concertina II... again?Stephen Fuld
|| |   |      | |+- Re: Concertina II... again?MitchAlsup
|| |   |      | |+* Re: Concertina II... again?Quadibloc
|| |   |      | ||+* Re: Concertina II... again?Stephen Fuld
|| |   |      | |||`* Re: Concertina II... again?Anton Ertl
|| |   |      | ||| +* Re: Concertina II... again?Stephen Fuld
|| |   |      | ||| |`* Re: Concertina II... again?Quadibloc
|| |   |      | ||| | +- Re: Concertina II... again?Quadibloc
|| |   |      | ||| | +* Re: Concertina II... again?Stephen Fuld
|| |   |      | ||| | |`- Re: Concertina II... again?MitchAlsup
|| |   |      | ||| | `* Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |  `* Re: Concertina II... again?MitchAlsup
|| |   |      | ||| |   +* Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   |`- Re: Concertina II... again?MitchAlsup
|| |   |      | ||| |   +* Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   |+* Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   ||`* Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   || +- Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   || `* Re: Concertina II... again?MitchAlsup
|| |   |      | ||| |   ||  `* Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   ||   +- Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   ||   +- Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   ||   +- Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   ||   +- Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   ||   +- Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   ||   +- Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   ||   +- Re: Concertina II... again?Bernd Linsel
|| |   |      | ||| |   ||   +- Re: Concertina II... again?MitchAlsup
|| |   |      | ||| |   ||   +- Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   ||   +- Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   ||   `- Re: Concertina II... again?MitchAlsup
|| |   |      | ||| |   |+* Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   ||`- Re: Concertina II... again?BGB
|| |   |      | ||| |   |`* Re: Concertina II... again?MitchAlsup
|| |   |      | ||| |   | `* Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   |  +* Re: Concertina II... again?Quadibloc
|| |   |      | ||| |   |  |`- Re: Concertina II... again?JimBrakefield
|| |   |      | ||| |   |  `- Re: Concertina II... again?BGB
|| |   |      | ||| |   `- Re: Concertina II... again?BGB
|| |   |      | ||| `- Re: Concertina II... again?MitchAlsup
|| |   |      | ||`- Re: Little old 360s Concertina II... again?John Levine
|| |   |      | |`- Re: Concertina II... again?Quadibloc
|| |   |      | `* Re: Concertina II... again?MitchAlsup
|| |   |      |  +- Re: Concertina II... again?Scott Lurndal
|| |   |      |  +- Re: Concertina II... again?Quadibloc
|| |   |      |  `* Re: Concertina II... again?Terje Mathisen
|| |   |      |   `* Re: Concertina II... again?BGB
|| |   |      |    +* Re: Concertina II... again?Scott Lurndal
|| |   |      |    |+- Re: Concertina II... again?BGB
|| |   |      |    |`* Re: Concertina II... again?Stephen Fuld
|| |   |      |    | +* Re: Concertina II... again?Scott Lurndal
|| |   |      |    | |+- Re: Concertina II... again?Stephen Fuld
|| |   |      |    | |`* Re: Concertina II... again?BGB
|| |   |      |    | | `* Re: Concertina II... again?Scott Lurndal
|| |   |      |    | |  `- Re: Concertina II... again?BGB
|| |   |      |    | `* Re: Concertina II... again?Terje Mathisen
|| |   |      |    |  `- Re: Concertina II... again?Stephen Fuld
|| |   |      |    `* Re: Concertina II... again?Terje Mathisen
|| |   |      `* Re: Concertina II... again?Thomas Koenig
|| |   +* Re: Concertina II... again?Quadibloc
|| |   +- Re: Concertina II... again?Paul A. Clayton
|| |   `- Re: Concertina II... again?Quadibloc
|| `- Re: Concertina II... again?BGB
|+- Re: Concertina II... again?Quadibloc
|+* Re: Concertina II... again?Quadibloc
|`- Re: Concertina II... again?Quadibloc
+* Re: Concertina II... again?Andy Valencia
+- Re: Concertina II... again?Quadibloc
+* Re: Concertina II... again?Quadibloc
`- Re: Concertina II... again?David Schultz

Pages:12345678
Re: Concertina II... again?

<cf63a872-814d-4ea9-b1c4-7cf1293e2d4dn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31213&group=comp.arch#31213

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:56e3:0:b0:3bd:17d6:f98d with SMTP id 3-20020ac856e3000000b003bd17d6f98dmr2218794qtu.10.1679067933992;
Fri, 17 Mar 2023 08:45:33 -0700 (PDT)
X-Received: by 2002:a9d:157:0:b0:698:683f:989d with SMTP id
81-20020a9d0157000000b00698683f989dmr4268308otu.6.1679067933760; Fri, 17 Mar
2023 08:45:33 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 08:45:33 -0700 (PDT)
In-Reply-To: <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <cf63a872-814d-4ea9-b1c4-7cf1293e2d4dn@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Fri, 17 Mar 2023 15:45:33 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: Quadibloc - Fri, 17 Mar 2023 15:45 UTC

On Thursday, March 16, 2023 at 8:36:37 PM UTC-6, Quadibloc wrote:

> Of course, though, the only "rational" reason I can *really* think of for
> having this feature is that it would make porting of programs written
> for the PowerPC simpler

This is definitely a good reason for dropping this feature, because it
has one important cost: it increases the size of the machine state,
which gets saved on every interrupt. Just because there are some bits
available doesn't mean I need to use them for something right away...

In any case, after doing some more slight tweaks, one thing about this
ISA came to my attention. Yes, it's definitely not orthogonal, to a degree
that would seem to make writing a compiler for it a nightmare.

But one thing that has really been a part of the design all along should
be noted: the design does have multiple orthogonal _subsets_. So there
are features that aren't available in all addressing modes. One can choose
to do without the addressing modes in which it isn't available, or one can
do without the feature, and in either case, what remains is orthogonal.

One instruction set. That fits into the available opcode space. And that offers
a seemingly-impossible degree of versatility.

John Savard

Re: Concertina II... again?

<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31214&group=comp.arch#31214

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ae9:c112:0:b0:744:ae74:6fd2 with SMTP id z18-20020ae9c112000000b00744ae746fd2mr5042425qki.10.1679072647560;
Fri, 17 Mar 2023 10:04:07 -0700 (PDT)
X-Received: by 2002:a05:6870:1255:b0:177:cead:945c with SMTP id
21-20020a056870125500b00177cead945cmr100909oao.6.1679072647367; Fri, 17 Mar
2023 10:04:07 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 10:04:07 -0700 (PDT)
In-Reply-To: <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:a181:7b56:4852:766f;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:a181:7b56:4852:766f
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
Subject: Re: Concertina II... again?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Fri, 17 Mar 2023 17:04:07 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3892
 by: MitchAlsup - Fri, 17 Mar 2023 17:04 UTC

On Thursday, March 16, 2023 at 9:36:37 PM UTC-5, Quadibloc wrote:
> On Thursday, March 16, 2023 at 8:41:04 AM UTC-6, Quadibloc wrote:
> > On Thursday, March 16, 2023 at 7:43:58 AM UTC-6, Quadibloc wrote:
> >
> > > But in addition, for operate instructions, three of those five bits indicate
> > > *one of eight sets of condition codes* - after all, if the PowerPC ISA
> > > found this feature useful, presumably that means it must be worthwhile
> > > to have!
> >
> > I have now added a very few instruction formats which support these
> > alternate condition code bits in the regular instruction set as well. Most
> > importantly, there is now a 32-bit version of the _Set Flag_ instruction
> > which also supports the alternate condition code bits, so that full use
> > can be made of the fact that there are *both* eight sets of condition
> > code bits *and* sixteen flag bits that can be used for instruction
> > predication - so that, if required, the results of twenty-four instructions
> > can be in flight at one time and used for determining the subsequent
> > flow of control.
> >
> Of course, though, the only "rational" reason I can *really* think of for
> having this feature is that it would make porting of programs written
> for the PowerPC simpler - just as having System/360 style string and
> packed decimal memory to memory operations (and there will also be
> floating point operations on that alrchitecture's floating-point type) make
> conversion of programs from that architecture simpler.
<
Just how are System 360's string handling usefully better than the
string and memory library found in C ???
<
Also, why would anyone want to go back to System 360 Floating Point ??
>
> John Savard

Re: Concertina II... again?

<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31216&group=comp.arch#31216

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:14ad:b0:746:3907:8f with SMTP id x13-20020a05620a14ad00b007463907008fmr1367727qkj.8.1679074462657;
Fri, 17 Mar 2023 10:34:22 -0700 (PDT)
X-Received: by 2002:a05:6830:22c1:b0:68b:c94d:bbf7 with SMTP id
q1-20020a05683022c100b0068bc94dbbf7mr159612otc.0.1679074462423; Fri, 17 Mar
2023 10:34:22 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 10:34:22 -0700 (PDT)
In-Reply-To: <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Fri, 17 Mar 2023 17:34:22 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2947
 by: Quadibloc - Fri, 17 Mar 2023 17:34 UTC

On Friday, March 17, 2023 at 11:04:09 AM UTC-6, MitchAlsup wrote:

> Just how are System 360's string handling usefully better than the
> string and memory library found in C ???

I think that compares apples with oranges. Machine instructions don't
replace subroutines.

> Also, why would anyone want to go back to System 360 Floating Point ??

The architecture supports IEEE 754 as its primary floating-point type, so
people aren't being asked to "go back to" what is obviously an inferior
floating-point type.

A great deal of software has been written for IBM System/360 computers.

Directly supporting the functionality of System/360 instructions in hardware
allows programs similar to Hercules to run faster. And having all this hardware
on the chip, of course, suggests going even further in emulation support.

John Savard

Re: Concertina II... again?

<tv2h8i$2420q$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31218&group=comp.arch#31218

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader01.eternal-september.org!.POSTED!not-for-mail
From: sfu...@alumni.cmu.edu.invalid (Stephen Fuld)
Newsgroups: comp.arch
Subject: Re: Concertina II... again?
Date: Fri, 17 Mar 2023 13:06:41 -0700
Organization: A noiseless patient Spider
Lines: 42
Message-ID: <tv2h8i$2420q$1@dont-email.me>
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com>
<a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com>
<34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com>
<1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com>
<fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com>
<41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Fri, 17 Mar 2023 20:06:43 -0000 (UTC)
Injection-Info: reader01.eternal-september.org; posting-host="2994835940840659a0c4b0a06db3898f";
logging-data="2230298"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+WRIVU2bbz05hLEtdW3sDFAUjpx0nEZ/4="
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:102.0) Gecko/20100101
Thunderbird/102.9.0
Cancel-Lock: sha1:kJhLnZ/HBIJ6xNfTvaAjejrGsX4=
Content-Language: en-US
In-Reply-To: <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
 by: Stephen Fuld - Fri, 17 Mar 2023 20:06 UTC

On 3/17/2023 10:34 AM, Quadibloc wrote:
> On Friday, March 17, 2023 at 11:04:09 AM UTC-6, MitchAlsup wrote:
>
>> Just how are System 360's string handling usefully better than the
>> string and memory library found in C ???
>
> I think that compares apples with oranges. Machine instructions don't
> replace subroutines.

Sure they can. On S/360, I expect the C compiler would emit a MVC
instruction for the Memmove subroutine, and probably inline the
instruction rather than call a subroutine.

>> Also, why would anyone want to go back to System 360 Floating Point ??
>
> The architecture supports IEEE 754 as its primary floating-point type, so
> people aren't being asked to "go back to" what is obviously an inferior
> floating-point type.
>
> A great deal of software has been written for IBM System/360 computers.

Sure, but given that IBM supports this software with its processors, why
would a potential customer choose your chip, which would probably run
the software slower than a current IBM chip, and because of all the
extra silicon devoted to non-S/360 stuff, probably cost more?

>
> Directly supporting the functionality of System/360 instructions in hardware
> allows programs similar to Hercules to run faster. And having all this hardware
> on the chip, of course, suggests going even further in emulation support.

OK, so let's say you can directly execute every S/360 instruction.
Again, why would someone choose your chip over IBMs?

--
- Stephen Fuld
(e-mail address disguised to prevent spam)

Re: Concertina II... again?

<018ab7d6-0bf4-47e2-a943-8d862092d604n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31222&group=comp.arch#31222

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:1484:0:b0:3d5:759b:522e with SMTP id l4-20020ac81484000000b003d5759b522emr2271921qtj.7.1679086045449;
Fri, 17 Mar 2023 13:47:25 -0700 (PDT)
X-Received: by 2002:a05:6830:1e13:b0:688:cf52:6e18 with SMTP id
s19-20020a0568301e1300b00688cf526e18mr330100otr.4.1679086045285; Fri, 17 Mar
2023 13:47:25 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 13:47:25 -0700 (PDT)
In-Reply-To: <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:48fa:bfb5:39e0:6c81;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:48fa:bfb5:39e0:6c81
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <018ab7d6-0bf4-47e2-a943-8d862092d604n@googlegroups.com>
Subject: Re: Concertina II... again?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Fri, 17 Mar 2023 20:47:25 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3625
 by: MitchAlsup - Fri, 17 Mar 2023 20:47 UTC

On Friday, March 17, 2023 at 12:34:24 PM UTC-5, Quadibloc wrote:
> On Friday, March 17, 2023 at 11:04:09 AM UTC-6, MitchAlsup wrote:
>
> > Just how are System 360's string handling usefully better than the
> > string and memory library found in C ???
<
> I think that compares apples with oranges. Machine instructions don't
> replace subroutines.
<
My point was and is that modern applications have been using C's str*
library for 3-4 decades, and the porting pool of applications is strongly
in the camp of using those libraries and not (so much) using System 360
notions of strings (fixed length, 255 character maximum, ...).
<
> > Also, why would anyone want to go back to System 360 Floating Point ??
> The architecture supports IEEE 754 as its primary floating-point type, so
> people aren't being asked to "go back to" what is obviously an inferior
> floating-point type.
>
> A great deal of software has been written for IBM System/360 computers.
<
Almost none of it using System 360 floating point -- that has not been ported
already to IEEE 754.
>
> Directly supporting the functionality of System/360 instructions in hardware
> allows programs similar to Hercules to run faster. And having all this hardware
> on the chip, of course, suggests going even further in emulation support.
<
Ha ha ha ha ha ha ha <catch breath> maybe you do stand up comedy.
>
> John Savard

Re: Concertina II... again?

<72b351c8-629e-4cf7-ad0c-e9e0daca8bc2n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31223&group=comp.arch#31223

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:59c6:0:b0:3d3:f7cf:1d4b with SMTP id f6-20020ac859c6000000b003d3f7cf1d4bmr1706444qtf.2.1679086204977;
Fri, 17 Mar 2023 13:50:04 -0700 (PDT)
X-Received: by 2002:a9d:6e99:0:b0:698:b03a:4fb0 with SMTP id
a25-20020a9d6e99000000b00698b03a4fb0mr357815otr.1.1679086204749; Fri, 17 Mar
2023 13:50:04 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 13:50:04 -0700 (PDT)
In-Reply-To: <tv2h8i$2420q$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:48fa:bfb5:39e0:6c81;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:48fa:bfb5:39e0:6c81
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
<tv2h8i$2420q$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <72b351c8-629e-4cf7-ad0c-e9e0daca8bc2n@googlegroups.com>
Subject: Re: Concertina II... again?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Fri, 17 Mar 2023 20:50:04 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: MitchAlsup - Fri, 17 Mar 2023 20:50 UTC

On Friday, March 17, 2023 at 3:06:46 PM UTC-5, Stephen Fuld wrote:
> On 3/17/2023 10:34 AM, Quadibloc wrote:
> > On Friday, March 17, 2023 at 11:04:09 AM UTC-6, MitchAlsup wrote:
> >
> >> Just how are System 360's string handling usefully better than the
> >> string and memory library found in C ???
> >
> > I think that compares apples with oranges. Machine instructions don't
> > replace subroutines.
<
> Sure they can. On S/360, I expect the C compiler would emit a MVC
> instruction for the Memmove subroutine, and probably inline the
> instruction rather than call a subroutine.
<
Brian's compiler already does.
<
> >> Also, why would anyone want to go back to System 360 Floating Point ??
> >
> > The architecture supports IEEE 754 as its primary floating-point type, so
> > people aren't being asked to "go back to" what is obviously an inferior
> > floating-point type.
> >
> > A great deal of software has been written for IBM System/360 computers.
<
> Sure, but given that IBM supports this software with its processors, why
> would a potential customer choose your chip, which would probably run
> the software slower than a current IBM chip, and because of all the
> extra silicon devoted to non-S/360 stuff, probably cost more?
> >
> > Directly supporting the functionality of System/360 instructions in hardware
> > allows programs similar to Hercules to run faster. And having all this hardware
> > on the chip, of course, suggests going even further in emulation support.
<
> OK, so let's say you can directly execute every S/360 instruction.
> Again, why would someone choose your chip over IBMs?
>
Are you going to have a "fix it person" on call who answers the phone at 4:15 AM
like the IBM repair guy ?? and can arrive in 15 minutes ?? If not, then who is going
to buy your chip ??
> --
> - Stephen Fuld
> (e-mail address disguised to prevent spam)

Re: Concertina II... again?

<Tp4RL.1561903$iU59.460281@fx14.iad>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31224&group=comp.arch#31224

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!news.uzoreto.com!peer03.ams4!peer.am4.highwinds-media.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx14.iad.POSTED!not-for-mail
X-newsreader: xrn 9.03-beta-14-64bit
Sender: scott@dragon.sl.home (Scott Lurndal)
From: sco...@slp53.sl.home (Scott Lurndal)
Reply-To: slp53@pacbell.net
Subject: Re: Concertina II... again?
Newsgroups: comp.arch
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com> <2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <018ab7d6-0bf4-47e2-a943-8d862092d604n@googlegroups.com>
Lines: 14
Message-ID: <Tp4RL.1561903$iU59.460281@fx14.iad>
X-Complaints-To: abuse@usenetserver.com
NNTP-Posting-Date: Fri, 17 Mar 2023 20:52:03 UTC
Organization: UsenetServer - www.usenetserver.com
Date: Fri, 17 Mar 2023 20:52:03 GMT
X-Received-Bytes: 1676
 by: Scott Lurndal - Fri, 17 Mar 2023 20:52 UTC

MitchAlsup <MitchAlsup@aol.com> writes:
>On Friday, March 17, 2023 at 12:34:24=E2=80=AFPM UTC-5, Quadibloc wrote:
>> On Friday, March 17, 2023 at 11:04:09=E2=80=AFAM UTC-6, MitchAlsup wrote:=

>> Directly supporting the functionality of System/360 instructions in hardw=
>are=20
>> allows programs similar to Hercules to run faster. And having all this ha=
>rdware=20
>> on the chip, of course, suggests going even further in emulation support.=
>=20
><
>Ha ha ha ha ha ha ha <catch breath> maybe you do stand up comedy.

You should read some of Quaddie's missives on e.g. rec.arts.sf.written.

Re: Concertina II... again?

<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31230&group=comp.arch#31230

  copy link   Newsgroups: comp.arch
X-Received: by 2002:aed:27c2:0:b0:3bf:da0f:ed90 with SMTP id m2-20020aed27c2000000b003bfda0fed90mr2266619qtg.3.1679105858520;
Fri, 17 Mar 2023 19:17:38 -0700 (PDT)
X-Received: by 2002:a05:6808:3090:b0:386:a6f0:5e5e with SMTP id
bl16-20020a056808309000b00386a6f05e5emr3736868oib.6.1679105858254; Fri, 17
Mar 2023 19:17:38 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 19:17:38 -0700 (PDT)
In-Reply-To: <tv2h8i$2420q$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
<tv2h8i$2420q$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sat, 18 Mar 2023 02:17:38 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: Quadibloc - Sat, 18 Mar 2023 02:17 UTC

On Friday, March 17, 2023 at 2:06:46 PM UTC-6, Stephen Fuld wrote:

> OK, so let's say you can directly execute every S/360 instruction.
> Again, why would someone choose your chip over IBMs?

I think that's a simple question to answer. IBM PCs, Raspberry Pi single
board computers, and similar systems based on the RISC-V chip are
much less expensive than z/Architecture computers from IBM.

John Savard

Re: Concertina II... again?

<d8ecf51f-8b1a-4a2f-b437-9ecd7e5a2306n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31231&group=comp.arch#31231

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:a45:b0:5a1:2e87:5d2f with SMTP id ee5-20020a0562140a4500b005a12e875d2fmr6166701qvb.0.1679107084202;
Fri, 17 Mar 2023 19:38:04 -0700 (PDT)
X-Received: by 2002:a05:6830:1297:b0:69f:262:c524 with SMTP id
z23-20020a056830129700b0069f0262c524mr174720otp.4.1679107083894; Fri, 17 Mar
2023 19:38:03 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 19:38:03 -0700 (PDT)
In-Reply-To: <018ab7d6-0bf4-47e2-a943-8d862092d604n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
<018ab7d6-0bf4-47e2-a943-8d862092d604n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <d8ecf51f-8b1a-4a2f-b437-9ecd7e5a2306n@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sat, 18 Mar 2023 02:38:04 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 4121
 by: Quadibloc - Sat, 18 Mar 2023 02:38 UTC

On Friday, March 17, 2023 at 2:47:26 PM UTC-6, MitchAlsup wrote:
> On Friday, March 17, 2023 at 12:34:24 PM UTC-5, Quadibloc wrote:

> > Directly supporting the functionality of System/360 instructions in hardware
> > allows programs similar to Hercules to run faster. And having all this hardware
> > on the chip, of course, suggests going even further in emulation support.
> <
> Ha ha ha ha ha ha ha <catch breath> maybe you do stand up comedy.

So, since I've now removed the "remainder" field from the free-format block
header, I could use those three bits to indicate the instruction set.

So seven 256-bit instruction blocks, each containing one 32-bit free-format
header with 1 or 7 in that field could contain 48 (rather than 49, for simplicity)
32 bit words of System/360 or /370 instructions, and these would be further
organized into blocks containing 512 32-bit words of System/360 or /370
instructions - 77 instruction blocks in eleven groups of seven, each containing
48 32-bit words, and one additional instruction block in which only four of the
seven available 32-bit words are used.

The _decode_ fields in the blocks would be used to indicate how many 32-bit
words in the block are used, so that address conversion would only be needed
when branching.

So emulation support is defintely possible. Basically, the paging mechanism
would also have to mark the distinction between code and data segments so
that it's clear where address conversion is required - only in the code segments.
Of course, only the code segments have unused space that can easily be used
for the protection keys...

But if the CPU has to "know" that it's executing 360 code, it might as well just
go into a full-on 360 mode, instead of attempting to represent 360 code as part
of the existing instruction set without a mode...

John Savard

Re: Concertina II... again?

<5740d844-60cb-4d37-8cee-9414645d0070n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31232&group=comp.arch#31232

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:889:b0:746:52a4:44ec with SMTP id b9-20020a05620a088900b0074652a444ecmr884652qka.8.1679107610898;
Fri, 17 Mar 2023 19:46:50 -0700 (PDT)
X-Received: by 2002:a9d:664a:0:b0:697:4c96:fb68 with SMTP id
q10-20020a9d664a000000b006974c96fb68mr175709otm.7.1679107610697; Fri, 17 Mar
2023 19:46:50 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 19:46:50 -0700 (PDT)
In-Reply-To: <memo.20230317234840.10336B@jgd.cix.co.uk>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc
References: <cf63a872-814d-4ea9-b1c4-7cf1293e2d4dn@googlegroups.com> <memo.20230317234840.10336B@jgd.cix.co.uk>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <5740d844-60cb-4d37-8cee-9414645d0070n@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sat, 18 Mar 2023 02:46:50 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2919
 by: Quadibloc - Sat, 18 Mar 2023 02:46 UTC

On Friday, March 17, 2023 at 5:48:44 PM UTC-6, John Dallman wrote:

> That creates an incentive for a compiler writer to use such a subset, or
> to offer a choice from several different subsets. Making sure that code
> compiled for /different/ subsets is compatible becomes a new and quite
> complex problem. That creates an incentive to treat the subsets like
> modes, which means that much of the versatility of your ISA is wasted.

One option is to use a calling convention that uses things which are
commonly available. Thus, while 16-bit displacements in memory-reference
instructions are not available if one uses a subset that allows the use of
some features more easily, there's one base register that goes with a 15-bit
displacement that's always available. So that could always be the return
register for the relative jump to subroutine instruction that begins a routine
to give it its first base register value. (Like the BALR that begins a System/360
routine.)

The subsets are still parts of the same instruction set. So it is possible
for a routine to call another routine that happens to be coded differently
as long as they use the same calling convention, and take care of saving
state in a sensible way.

While you raise a good point, if we're talking about one operating
system, which sets certain standards, and different compilers that
emit different kinds of code that all run within that operating system,
it can be made to work.

So a subroutine library might be heavily optimized, and make full use
of the VLIW features, for example, but be callable even from naive
free-format code.

John Savard

Re: Concertina II... again?

<4e0b1b01-f925-472e-883a-d9d5895635fan@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31233&group=comp.arch#31233

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:9a1:b0:56b:ee5a:89f0 with SMTP id du1-20020a05621409a100b0056bee5a89f0mr5760165qvb.7.1679107758785;
Fri, 17 Mar 2023 19:49:18 -0700 (PDT)
X-Received: by 2002:aca:2808:0:b0:384:2150:4f6 with SMTP id
8-20020aca2808000000b00384215004f6mr4051241oix.7.1679107758542; Fri, 17 Mar
2023 19:49:18 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 17 Mar 2023 19:49:18 -0700 (PDT)
In-Reply-To: <72b351c8-629e-4cf7-ad0c-e9e0daca8bc2n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:11b2:ddb0:3ccf:62fc
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<677d90c6-fcac-43e0-a073-6165e59c8acbn@googlegroups.com> <a582b4da-eeb5-4347-ba65-f0b5d84589f7n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com> <34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com> <1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com> <fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com> <41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
<tv2h8i$2420q$1@dont-email.me> <72b351c8-629e-4cf7-ad0c-e9e0daca8bc2n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <4e0b1b01-f925-472e-883a-d9d5895635fan@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sat, 18 Mar 2023 02:49:18 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2820
 by: Quadibloc - Sat, 18 Mar 2023 02:49 UTC

On Friday, March 17, 2023 at 2:50:06 PM UTC-6, MitchAlsup wrote:

> Are you going to have a "fix it person" on call who answers the phone at 4:15 AM
> like the IBM repair guy ?? and can arrive in 15 minutes ?? If not, then who is going
> to buy your chip ??

Oh, not the people who need a real mainframe and the support that comes with
it.

Of course, if my chip is successful, and proves its reliability, perhaps some
people might use it to build servers, which *they* could support. I mean,
Unisys is already building mainframes out of Intel chips.

John Savard

Re: Concertina II... again?

<tv3lci$2cj7f$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31238&group=comp.arch#31238

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader01.eternal-september.org!.POSTED!not-for-mail
From: sfu...@alumni.cmu.edu.invalid (Stephen Fuld)
Newsgroups: comp.arch
Subject: Re: Concertina II... again?
Date: Fri, 17 Mar 2023 23:23:12 -0700
Organization: A noiseless patient Spider
Lines: 27
Message-ID: <tv3lci$2cj7f$1@dont-email.me>
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<25ad0119-92d7-4dd2-8d47-397e78eed150n@googlegroups.com>
<34cfc1b6-6ad1-4e3b-826c-e3758f0826e8n@googlegroups.com>
<a4cee9db-4b1f-431a-bc00-62d8db13124bn@googlegroups.com>
<1c1a74af-29fa-4ea7-963a-55b438c96be0n@googlegroups.com>
<7991ac06-a03e-465b-8560-7538a7a8b611n@googlegroups.com>
<fdbcd830-69d3-4b90-9f16-6eca329365c8n@googlegroups.com>
<5fb2b7a1-03fa-4909-92de-580c824701ben@googlegroups.com>
<41e5a4f3-7b45-4fad-ae77-c8a01a8b4379n@googlegroups.com>
<2054161d-0aad-4490-87e6-e87707ada314n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
<tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Sat, 18 Mar 2023 06:23:14 -0000 (UTC)
Injection-Info: reader01.eternal-september.org; posting-host="ac9436adf518b85d91a105693811a66f";
logging-data="2510063"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX18VXZQEMmKyNZ12PD/5VpoEhtSGiccYrLo="
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:102.0) Gecko/20100101
Thunderbird/102.9.0
Cancel-Lock: sha1:oq32z/JhPbs1R6FH81GFDaS85gI=
Content-Language: en-US
In-Reply-To: <e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>
 by: Stephen Fuld - Sat, 18 Mar 2023 06:23 UTC

On 3/17/2023 7:17 PM, Quadibloc wrote:
> On Friday, March 17, 2023 at 2:06:46 PM UTC-6, Stephen Fuld wrote:
>
>> OK, so let's say you can directly execute every S/360 instruction.
>> Again, why would someone choose your chip over IBMs?
>
> I think that's a simple question to answer. IBM PCs, Raspberry Pi single
> board computers, and similar systems based on the RISC-V chip are
> much less expensive than z/Architecture computers from IBM.

Wait a minute. I thought you said a big advantage of your chip was that
it could run S/360 instructions. None of the designs you mention above
can do that. Certainly, if a customer wants primarily inexpensive MIPS,
he would go with one of those, not IBM, nor your chip.

BTW, are you going to be an exact emulation (including privileged
instructions, etc.) of some version of S/360 and descendants, such that
you can run an IBM OS? And how current a version of the HW and the OS?

If you are saying exact IBM compatibility at lower cost, see Amdahl,
Magnusen (SP?), IPL, Nixdorf, Hitachi, etc. Didn't work out too well
for them.

--
- Stephen Fuld
(e-mail address disguised to prevent spam)

Re: Concertina II... again?

<2023Mar18.094753@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31240&group=comp.arch#31240

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader01.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: Concertina II... again?
Date: Sat, 18 Mar 2023 08:47:53 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 28
Message-ID: <2023Mar18.094753@mips.complang.tuwien.ac.at>
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com> <2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com> <6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me> <e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
Injection-Info: reader01.eternal-september.org; posting-host="7045dcd19978788f685af0790cde4830";
logging-data="2564407"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+vJXcYdLdRYczDc2Y1Phef"
Cancel-Lock: sha1:1X9nmQDiJEqrLPsT5v2QkrwFy84=
X-newsreader: xrn 10.11
 by: Anton Ertl - Sat, 18 Mar 2023 08:47 UTC

Stephen Fuld <sfuld@alumni.cmu.edu.invalid> writes:
>If you are saying exact IBM compatibility at lower cost, see Amdahl,
>Magnusen (SP?), IPL, Nixdorf, Hitachi, etc. Didn't work out too well
>for them.

It worked for a time. Then the more cost-concious customers switched
to microprocessor systems, and the "money is no object" mainframe
customers had stayed with IBM all the time, so the business for the
compatible mainframes dried up.

BTW, I find nothing about IPL, and ICL apparently did not really
compete in the IBM-compatible space ("For some sites a microcode
floppy was available that would make the system work as an IBM 360
running the IBM operating system, although this entailed changing the
removable hard drives as the formats were completely different."
<https://en.wikipedia.org/wiki/International_Computers_Limited#2903_range>).

And the computer firms from the mainframe age (except maybe IBM) were
all outcompeted by Unix servers and later PC-based servers, and
several of them were taken over by Fujitsu (who apparently manages to
run the remnants without significant losses). Similarly, the Unix
manufacturers from the 1980s were outcompeted by PCs and all
eventually dropped their own architectures in favour of IA-32/AMD64.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: Little old 360s Concertina II... again?

<tv4l2a$1cle$1@gal.iecc.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31242&group=comp.arch#31242

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!news.iecc.com!.POSTED.news.iecc.com!not-for-mail
From: joh...@taugh.com (John Levine)
Newsgroups: comp.arch
Subject: Re: Little old 360s Concertina II... again?
Date: Sat, 18 Mar 2023 15:23:54 -0000 (UTC)
Organization: Taughannock Networks
Message-ID: <tv4l2a$1cle$1@gal.iecc.com>
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me> <e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>
Injection-Date: Sat, 18 Mar 2023 15:23:54 -0000 (UTC)
Injection-Info: gal.iecc.com; posting-host="news.iecc.com:2001:470:1f07:1126:0:676f:7373:6970";
logging-data="45742"; mail-complaints-to="abuse@iecc.com"
In-Reply-To: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com> <9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me> <e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>
Cleverness: some
X-Newsreader: trn 4.0-test77 (Sep 1, 2010)
Originator: johnl@iecc.com (John Levine)
 by: John Levine - Sat, 18 Mar 2023 15:23 UTC

According to Quadibloc <jsavard@ecn.ab.ca>:
>On Friday, March 17, 2023 at 2:06:46 PM UTC-6, Stephen Fuld wrote:
>
>> OK, so let's say you can directly execute every S/360 instruction.
>> Again, why would someone choose your chip over IBMs?
>
>I think that's a simple question to answer. IBM PCs, Raspberry Pi single
>board computers, and similar systems based on the RISC-V chip are
>much less expensive than z/Architecture computers from IBM.

Back in the day, IBM sold several kinds of PC 360, PCs with boards
that could run S/360 code. They really worked, and within their
limited memory and disk you could boot up and run mainframe software.

None of them were other than niche products, because the CPU is not
the interesting part of a mainframe. The interesting part is all the
other stuff that lets them run a decade (really) between reboots. I
think the main reason that people bought them was a quirk in software
licensing that made them cheaper than adding another 3270 to a real
mainframe.

--
Regards,
John Levine, johnl@taugh.com, Primary Perpetrator of "The Internet for Dummies",
Please consider the environment before reading this e-mail. https://jl.ly

Re: Concertina II... again?

<tv4qu1$2ip3i$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31243&group=comp.arch#31243

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader01.eternal-september.org!.POSTED!not-for-mail
From: sfu...@alumni.cmu.edu.invalid (Stephen Fuld)
Newsgroups: comp.arch
Subject: Re: Concertina II... again?
Date: Sat, 18 Mar 2023 10:03:59 -0700
Organization: A noiseless patient Spider
Lines: 65
Message-ID: <tv4qu1$2ip3i$1@dont-email.me>
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
<tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>
<tv3lci$2cj7f$1@dont-email.me> <2023Mar18.094753@mips.complang.tuwien.ac.at>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Injection-Date: Sat, 18 Mar 2023 17:04:01 -0000 (UTC)
Injection-Info: reader01.eternal-september.org; posting-host="d21fcd75d6b8a5b7fdcad262418071c8";
logging-data="2712690"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX18CuKtlJrJJQZv5sOF07g/PkYu9UWqbuog="
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:102.0) Gecko/20100101
Thunderbird/102.9.0
Cancel-Lock: sha1:xPokSYUMaWGVR0dHXqVG7adnbXs=
Content-Language: en-US
In-Reply-To: <2023Mar18.094753@mips.complang.tuwien.ac.at>
 by: Stephen Fuld - Sat, 18 Mar 2023 17:03 UTC

On 3/18/2023 1:47 AM, Anton Ertl wrote:
> Stephen Fuld <sfuld@alumni.cmu.edu.invalid> writes:
>> If you are saying exact IBM compatibility at lower cost, see Amdahl,
>> Magnusen (SP?), IPL, Nixdorf, Hitachi, etc. Didn't work out too well
>> for them.
>
> It worked for a time. Then the more cost-concious customers switched
> to microprocessor systems, and the "money is no object" mainframe
> customers had stayed with IBM all the time, so the business for the
> compatible mainframes dried up.

Yup. So what makes John think his system will succeed when all of these
have failed?

> BTW, I find nothing about IPL, and ICL apparently did not really
> compete in the IBM-compatible space ("For some sites a microcode
> floppy was available that would make the system work as an IBM 360
> running the IBM operating system, although this entailed changing the
> removable hard drives as the formats were completely different."
> <https://en.wikipedia.org/wiki/International_Computers_Limited#2903_range>).

I meant IPL. I too couldn't find anything about them on the web, but
the company I worked for had one for a few years in the early 1980s.
It was a low end, hence relatively low cost, system, that we used as a
test bed to develop a specialized IBM compatible disk systems. My
recollection is that they were founded by someone named Steve Iplito
(hence the name), and IIRC were based in Boston. The system worked, but
we abandoned our effort and I think they went out of business after a
few years. They were a competitor in the low end S/370 market with
Magnuson computer systems, which also failed.

https://en.wikipedia.org/wiki/Magnuson_Computer_Systems

> And the computer firms from the mainframe age (except maybe IBM) were
> all outcompeted by Unix servers and later PC-based servers, and
> several of them were taken over by Fujitsu (who apparently manages to
> run the remnants without significant losses).

I think you should distinguish here between the IBM PCM mainframes and
the BUNCH. Most of the IBM PCM mainframes were out-competed by IBM
itself with the 4300s taking out the low end systems. And apparently
Amdahl gave up after they decided not to spend the R&D money to develop
systems compatible with IBM's 64 bit systems.

https://www.computerworld.com/article/2589047/amdahl-planning-to-exit-mainframe-business.html

The rise of Unix servers certainly hurt the BUNCH, but that was one
among several factors leading to their decline or demise.

> Similarly, the Unix
> manufacturers from the 1980s were outcompeted by PCs and all
> eventually dropped their own architectures in favour of IA-32/AMD64.

Yup.

--
- Stephen Fuld
(e-mail address disguised to prevent spam)

Re: Concertina II... again?

<ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31244&group=comp.arch#31244

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:1812:b0:3de:5a32:7b81 with SMTP id t18-20020a05622a181200b003de5a327b81mr337463qtc.0.1679159761147;
Sat, 18 Mar 2023 10:16:01 -0700 (PDT)
X-Received: by 2002:a05:6871:a788:b0:17e:1aaf:ebab with SMTP id
wj8-20020a056871a78800b0017e1aafebabmr40207oab.1.1679159760915; Sat, 18 Mar
2023 10:16:00 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border-2.nntp.ord.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 10:16:00 -0700 (PDT)
In-Reply-To: <tv4qu1$2ip3i$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:6d9c:ef68:3849:eebe;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:6d9c:ef68:3849:eebe
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sat, 18 Mar 2023 17:16:01 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 13
 by: Quadibloc - Sat, 18 Mar 2023 17:16 UTC

On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:

> Yup. So what makes John think his system will succeed when all of these
> have failed?

I'm not trying to succeed at that. The goal for my system is to be a viable
alternative to ARM and x86, just like RISC-V. The ability to handle S/360
floats - and *maybe* even do emulation - is just a minor nice feature to make
the chip more attractive in that market.

John Savard

Re: Concertina II... again?

<f3b721da-e380-4ddc-9fe7-87f3a79a1327n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31245&group=comp.arch#31245

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:3783:b0:742:b38f:651a with SMTP id pi3-20020a05620a378300b00742b38f651amr6084216qkn.12.1679160521228;
Sat, 18 Mar 2023 10:28:41 -0700 (PDT)
X-Received: by 2002:a9d:7f91:0:b0:697:dfc8:7e8d with SMTP id
t17-20020a9d7f91000000b00697dfc87e8dmr796078otp.0.1679160520994; Sat, 18 Mar
2023 10:28:40 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 10:28:40 -0700 (PDT)
In-Reply-To: <ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:6d9c:ef68:3849:eebe;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:6d9c:ef68:3849:eebe
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me> <ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <f3b721da-e380-4ddc-9fe7-87f3a79a1327n@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sat, 18 Mar 2023 17:28:41 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2716
 by: Quadibloc - Sat, 18 Mar 2023 17:28 UTC

On Saturday, March 18, 2023 at 11:16:02 AM UTC-6, Quadibloc wrote:
> On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:
>
> > Yup. So what makes John think his system will succeed when all of these
> > have failed?
>
> I'm not trying to succeed at that. The goal for my system is to be a viable
> alternative to ARM and x86, just like RISC-V. The ability to handle S/360
> floats - and *maybe* even do emulation - is just a minor nice feature to make
> the chip more attractive in that market.
>
Basically, the history you note showed that the companies that tried to compete
with IBM mainframes with somewhat less expensive mainframes failed, instead
everyone used PCs.

I'm just proposing a cheap microchip - which , because it can handle data
formats resembling those of the System/360, can handle its dusty decks better
than other cheap microchips.

John Savard

Re: Concertina II... again?

<d59fd501-1eba-4a86-80c4-7015216d55adn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31250&group=comp.arch#31250

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:1a1b:b0:3d8:c6c1:2925 with SMTP id f27-20020a05622a1a1b00b003d8c6c12925mr2171824qtb.10.1679165208501;
Sat, 18 Mar 2023 11:46:48 -0700 (PDT)
X-Received: by 2002:a05:6870:1255:b0:177:cead:945c with SMTP id
21-20020a056870125500b00177cead945cmr830842oao.6.1679165208100; Sat, 18 Mar
2023 11:46:48 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 11:46:47 -0700 (PDT)
In-Reply-To: <2023Mar18.094753@mips.complang.tuwien.ac.at>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:7071:39b9:f238:68aa;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:7071:39b9:f238:68aa
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <d59fd501-1eba-4a86-80c4-7015216d55adn@googlegroups.com>
Subject: Re: Concertina II... again?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sat, 18 Mar 2023 18:46:48 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3453
 by: MitchAlsup - Sat, 18 Mar 2023 18:46 UTC

On Saturday, March 18, 2023 at 4:29:52 AM UTC-5, Anton Ertl wrote:
> Stephen Fuld <sf...@alumni.cmu.edu.invalid> writes:
> >If you are saying exact IBM compatibility at lower cost, see Amdahl,
> >Magnusen (SP?), IPL, Nixdorf, Hitachi, etc. Didn't work out too well
> >for them.
> It worked for a time. Then the more cost-concious customers switched
> to microprocessor systems, and the "money is no object" mainframe
> customers had stayed with IBM all the time, so the business for the
> compatible mainframes dried up.
<
The business dried up because Z-System was too difficult to copy and
fortified with reams of patents. {I was attached at the hip to Fujitsu at
this point in time.}
>
> BTW, I find nothing about IPL, and ICL apparently did not really
> compete in the IBM-compatible space ("For some sites a microcode
> floppy was available that would make the system work as an IBM 360
> running the IBM operating system, although this entailed changing the
> removable hard drives as the formats were completely different."
> <https://en.wikipedia.org/wiki/International_Computers_Limited#2903_range>).
>
> And the computer firms from the mainframe age (except maybe IBM) were
> all outcompeted by Unix servers and later PC-based servers, and
> several of them were taken over by Fujitsu (who apparently manages to
> run the remnants without significant losses). Similarly, the Unix
> manufacturers from the 1980s were outcompeted by PCs and all
> eventually dropped their own architectures in favour of IA-32/AMD64.
>
> - anton
> --
> 'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
> Mitch Alsup, <c17fcd89-f024-40e7...@googlegroups.com>

Re: Concertina II... again?

<tv54mp$2kd31$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31252&group=comp.arch#31252

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader01.eternal-september.org!.POSTED!not-for-mail
From: sfu...@alumni.cmu.edu.invalid (Stephen Fuld)
Newsgroups: comp.arch
Subject: Re: Concertina II... again?
Date: Sat, 18 Mar 2023 12:50:47 -0700
Organization: A noiseless patient Spider
Lines: 20
Message-ID: <tv54mp$2kd31$1@dont-email.me>
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com>
<7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com>
<eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com>
<tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com>
<tv3lci$2cj7f$1@dont-email.me> <2023Mar18.094753@mips.complang.tuwien.ac.at>
<tv4qu1$2ip3i$1@dont-email.me>
<ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Sat, 18 Mar 2023 19:50:49 -0000 (UTC)
Injection-Info: reader01.eternal-september.org; posting-host="d21fcd75d6b8a5b7fdcad262418071c8";
logging-data="2765921"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX19oFB4PmU7GRnr9B9bD6cbAq/TJnM112yM="
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:102.0) Gecko/20100101
Thunderbird/102.9.0
Cancel-Lock: sha1:EyphiTVz9lolo9g/8RRAiTTIxWA=
In-Reply-To: <ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>
Content-Language: en-US
 by: Stephen Fuld - Sat, 18 Mar 2023 19:50 UTC

On 3/18/2023 10:16 AM, Quadibloc wrote:
> On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:
>
>> Yup. So what makes John think his system will succeed when all of these
>> have failed?
>
> I'm not trying to succeed at that. The goal for my system is to be a viable
> alternative to ARM and x86, just like RISC-V. The ability to handle S/360
> floats - and *maybe* even do emulation - is just a minor nice feature to make
> the chip more attractive in that market.

OK. But compared to those competitors, your chip has more circuitry,
thus will be larger, which means it will cost more, and probably be
slower. So what will make your chip succeed against those competitors?

--
- Stephen Fuld
(e-mail address disguised to prevent spam)

Re: Concertina II... again?

<e0349a3f-b92e-4906-8c6f-3f662427c33dn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31253&group=comp.arch#31253

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:1867:b0:56f:36e:fbf with SMTP id eh7-20020a056214186700b0056f036e0fbfmr5655186qvb.4.1679173351946;
Sat, 18 Mar 2023 14:02:31 -0700 (PDT)
X-Received: by 2002:a05:6830:168b:b0:68b:c94d:bbf7 with SMTP id
k11-20020a056830168b00b0068bc94dbbf7mr989485otr.0.1679173351738; Sat, 18 Mar
2023 14:02:31 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 14:02:31 -0700 (PDT)
In-Reply-To: <tv54mp$2kd31$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:7071:39b9:f238:68aa;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:7071:39b9:f238:68aa
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me>
<ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com> <tv54mp$2kd31$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e0349a3f-b92e-4906-8c6f-3f662427c33dn@googlegroups.com>
Subject: Re: Concertina II... again?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sat, 18 Mar 2023 21:02:31 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: MitchAlsup - Sat, 18 Mar 2023 21:02 UTC

On Saturday, March 18, 2023 at 2:50:52 PM UTC-5, Stephen Fuld wrote:
> On 3/18/2023 10:16 AM, Quadibloc wrote:
> > On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:
> >
> >> Yup. So what makes John think his system will succeed when all of these
> >> have failed?
> >
> > I'm not trying to succeed at that. The goal for my system is to be a viable
> > alternative to ARM and x86, just like RISC-V. The ability to handle S/360
> > floats - and *maybe* even do emulation - is just a minor nice feature to make
> > the chip more attractive in that market.
<
> OK. But compared to those competitors, your chip has more circuitry,
> thus will be larger, which means it will cost more, and probably be
> slower. So what will make your chip succeed against those competitors?
<
AND
<
due to the added complexities and "just plain extra stuff", it takes longer
to design, debug; burns more power; and takes a larger design team, ...
All of which are working against your architecture.
<
> --
> - Stephen Fuld
> (e-mail address disguised to prevent spam)

Re: Concertina II... again?

<1521c77d-b338-43d4-b5bd-431178ab63adn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31254&group=comp.arch#31254

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:1cd:0:b0:3c0:4e62:c0e5 with SMTP id b13-20020ac801cd000000b003c04e62c0e5mr3327731qtg.8.1679173729591;
Sat, 18 Mar 2023 14:08:49 -0700 (PDT)
X-Received: by 2002:a05:6808:d1:b0:386:b9bc:a2b4 with SMTP id
t17-20020a05680800d100b00386b9bca2b4mr2833647oic.10.1679173729142; Sat, 18
Mar 2023 14:08:49 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 14:08:48 -0700 (PDT)
In-Reply-To: <ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me> <ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <1521c77d-b338-43d4-b5bd-431178ab63adn@googlegroups.com>
Subject: Re: Concertina II... again?
From: jim.brak...@ieee.org (JimBrakefield)
Injection-Date: Sat, 18 Mar 2023 21:08:49 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2699
 by: JimBrakefield - Sat, 18 Mar 2023 21:08 UTC

On Saturday, March 18, 2023 at 12:16:02 PM UTC-5, Quadibloc wrote:
> On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:
>
> > Yup. So what makes John think his system will succeed when all of these
> > have failed?
> I'm not trying to succeed at that. The goal for my system is to be a viable
> alternative to ARM and x86, just like RISC-V. The ability to handle S/360
> floats - and *maybe* even do emulation - is just a minor nice feature to make
> the chip more attractive in that market.
>
> John Savard
If it was me, I'd try for a ISA that makes it easy to add all kinds of instruction set add-ons.
There are commercial products in this area, there are ARM based FPGAs, various soft cores, etc.
For a original ISA, I'd suggest a minimal basic, high code density ISA that also supports a large
instruction format, say 40 to 48-bits, that can in one instruction drive an elaborate add-on?

Re: Concertina II... again?

<83924427-beaf-42cb-bc9f-70b44edc0150n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31256&group=comp.arch#31256

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:4157:0:b0:3d5:51e5:e332 with SMTP id e23-20020ac84157000000b003d551e5e332mr2861450qtm.2.1679176572977;
Sat, 18 Mar 2023 14:56:12 -0700 (PDT)
X-Received: by 2002:a05:6870:19:b0:17a:b7bf:166e with SMTP id
a25-20020a056870001900b0017ab7bf166emr956449oaa.10.1679176572769; Sat, 18 Mar
2023 14:56:12 -0700 (PDT)
Path: i2pn2.org!i2pn.org!diablo1.usenet.blueworldhosting.com!85.12.63.48.MISMATCH!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 14:56:12 -0700 (PDT)
In-Reply-To: <1521c77d-b338-43d4-b5bd-431178ab63adn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:7071:39b9:f238:68aa;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:7071:39b9:f238:68aa
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me>
<ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com> <1521c77d-b338-43d4-b5bd-431178ab63adn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <83924427-beaf-42cb-bc9f-70b44edc0150n@googlegroups.com>
Subject: Re: Concertina II... again?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sat, 18 Mar 2023 21:56:12 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3934
 by: MitchAlsup - Sat, 18 Mar 2023 21:56 UTC

On Saturday, March 18, 2023 at 4:08:50 PM UTC-5, JimBrakefield wrote:
> On Saturday, March 18, 2023 at 12:16:02 PM UTC-5, Quadibloc wrote:
> > On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:
> >
> > > Yup. So what makes John think his system will succeed when all of these
> > > have failed?
> > I'm not trying to succeed at that. The goal for my system is to be a viable
> > alternative to ARM and x86, just like RISC-V. The ability to handle S/360
> > floats - and *maybe* even do emulation - is just a minor nice feature to make
> > the chip more attractive in that market.
> >
> > John Savard
> If it was me, I'd try for a ISA that makes it easy to add all kinds of instruction set add-ons.
> There are commercial products in this area, there are ARM based FPGAs, various soft cores, etc.
<
This is why one should be preserving future OpCode space, providing lots of room to grow into
the future. My 66000 uses only 36 of the 64 slots in the Major OpCode table, and less than ½
of the 2-register memory and 2-register calculation subGroups, less than 1/64 of the 1-operand
but 3/4 of the 3-operand instruction subgroups.
<
This is another reason not to clutter up your OpCode map with Vector instructions (300+) or with
SIMD instructions (1300+).
<
So, if you have a reason to need an instruction with a 16-bit immediate, there is fresh space
available.
<
> For a original ISA, I'd suggest a minimal basic, high code density ISA that also supports a large
> instruction format, say 40 to 48-bits, that can in one instruction drive an elaborate add-on?
<
My 66000 has better code density without 16-bit instructions than RISC-V has with 16-bit
instructions. I have instructions from 1-word in size to 5-words in size (although I have yet
to see a 5-word instruction emitted by Brian's compiler).
<
I also have STM instruction than can store up to 31 registers to an MMI/O area in a single
transaction on the bus (that is ATOMICally).

Re: Concertina II... again?

<84116dd5-f532-4d3a-996f-827776815110n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31259&group=comp.arch#31259

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:18a6:b0:3de:748e:fd30 with SMTP id v38-20020a05622a18a600b003de748efd30mr603436qtc.10.1679186091043;
Sat, 18 Mar 2023 17:34:51 -0700 (PDT)
X-Received: by 2002:a05:6808:902:b0:378:30dc:ae5b with SMTP id
w2-20020a056808090200b0037830dcae5bmr4844740oih.5.1679186090632; Sat, 18 Mar
2023 17:34:50 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!85.12.63.47.MISMATCH!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 17:34:50 -0700 (PDT)
In-Reply-To: <83924427-beaf-42cb-bc9f-70b44edc0150n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me>
<ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com> <1521c77d-b338-43d4-b5bd-431178ab63adn@googlegroups.com>
<83924427-beaf-42cb-bc9f-70b44edc0150n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <84116dd5-f532-4d3a-996f-827776815110n@googlegroups.com>
Subject: Re: Concertina II... again?
From: jim.brak...@ieee.org (JimBrakefield)
Injection-Date: Sun, 19 Mar 2023 00:34:51 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 4358
 by: JimBrakefield - Sun, 19 Mar 2023 00:34 UTC

On Saturday, March 18, 2023 at 4:56:14 PM UTC-5, MitchAlsup wrote:
> On Saturday, March 18, 2023 at 4:08:50 PM UTC-5, JimBrakefield wrote:
> > On Saturday, March 18, 2023 at 12:16:02 PM UTC-5, Quadibloc wrote:
> > > On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:
> > >
> > > > Yup. So what makes John think his system will succeed when all of these
> > > > have failed?
> > > I'm not trying to succeed at that. The goal for my system is to be a viable
> > > alternative to ARM and x86, just like RISC-V. The ability to handle S/360
> > > floats - and *maybe* even do emulation - is just a minor nice feature to make
> > > the chip more attractive in that market.
> > >
> > > John Savard
> > If it was me, I'd try for a ISA that makes it easy to add all kinds of instruction set add-ons.
> > There are commercial products in this area, there are ARM based FPGAs, various soft cores, etc.
> <
> This is why one should be preserving future OpCode space, providing lots of room to grow into
> the future. My 66000 uses only 36 of the 64 slots in the Major OpCode table, and less than ½
> of the 2-register memory and 2-register calculation subGroups, less than 1/64 of the 1-operand
> but 3/4 of the 3-operand instruction subgroups.
> <
> This is another reason not to clutter up your OpCode map with Vector instructions (300+) or with
> SIMD instructions (1300+).
> <
> So, if you have a reason to need an instruction with a 16-bit immediate, there is fresh space
> available.
> <
> > For a original ISA, I'd suggest a minimal basic, high code density ISA that also supports a large
> > instruction format, say 40 to 48-bits, that can in one instruction drive an elaborate add-on?
> <
> My 66000 has better code density without 16-bit instructions than RISC-V has with 16-bit
> instructions. I have instructions from 1-word in size to 5-words in size (although I have yet
> to see a 5-word instruction emitted by Brian's compiler).

I'm a fan of 24-bit RISC instructions, ugh, which is more difficult for multiple issue etc.
The x86 adventure shows that this (non-32-bit alignment) is not a show stopper.
At what silicon cost??

> <
> I also have STM instruction than can store up to 31 registers to an MMI/O area in a single
> transaction on the bus (that is ATOMICally).

Re: Concertina II... again?

<bb3e8f44-a96b-4c9c-a0db-8c1dd3357fd2n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31260&group=comp.arch#31260

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a37:aa10:0:b0:746:7b7a:5f8f with SMTP id t16-20020a37aa10000000b007467b7a5f8fmr93966qke.3.1679189266650;
Sat, 18 Mar 2023 18:27:46 -0700 (PDT)
X-Received: by 2002:aca:6709:0:b0:384:253:642d with SMTP id
z9-20020aca6709000000b003840253642dmr4816906oix.3.1679189266415; Sat, 18 Mar
2023 18:27:46 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!1.us.feeder.erje.net!feeder.erje.net!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!85.12.63.47.MISMATCH!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 18:27:46 -0700 (PDT)
In-Reply-To: <84116dd5-f532-4d3a-996f-827776815110n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:7071:39b9:f238:68aa;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:7071:39b9:f238:68aa
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me>
<ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com> <1521c77d-b338-43d4-b5bd-431178ab63adn@googlegroups.com>
<83924427-beaf-42cb-bc9f-70b44edc0150n@googlegroups.com> <84116dd5-f532-4d3a-996f-827776815110n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <bb3e8f44-a96b-4c9c-a0db-8c1dd3357fd2n@googlegroups.com>
Subject: Re: Concertina II... again?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sun, 19 Mar 2023 01:27:46 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 5827
 by: MitchAlsup - Sun, 19 Mar 2023 01:27 UTC

On Saturday, March 18, 2023 at 7:34:52 PM UTC-5, JimBrakefield wrote:
> On Saturday, March 18, 2023 at 4:56:14 PM UTC-5, MitchAlsup wrote:
> > On Saturday, March 18, 2023 at 4:08:50 PM UTC-5, JimBrakefield wrote:
> > > On Saturday, March 18, 2023 at 12:16:02 PM UTC-5, Quadibloc wrote:
> > > > On Saturday, March 18, 2023 at 11:04:05 AM UTC-6, Stephen Fuld wrote:
> > > >
> > > > > Yup. So what makes John think his system will succeed when all of these
> > > > > have failed?
> > > > I'm not trying to succeed at that. The goal for my system is to be a viable
> > > > alternative to ARM and x86, just like RISC-V. The ability to handle S/360
> > > > floats - and *maybe* even do emulation - is just a minor nice feature to make
> > > > the chip more attractive in that market.
> > > >
> > > > John Savard
> > > If it was me, I'd try for a ISA that makes it easy to add all kinds of instruction set add-ons.
> > > There are commercial products in this area, there are ARM based FPGAs, various soft cores, etc.
> > <
> > This is why one should be preserving future OpCode space, providing lots of room to grow into
> > the future. My 66000 uses only 36 of the 64 slots in the Major OpCode table, and less than ½
> > of the 2-register memory and 2-register calculation subGroups, less than 1/64 of the 1-operand
> > but 3/4 of the 3-operand instruction subgroups.
> > <
> > This is another reason not to clutter up your OpCode map with Vector instructions (300+) or with
> > SIMD instructions (1300+).
> > <
> > So, if you have a reason to need an instruction with a 16-bit immediate, there is fresh space
> > available.
> > <
> > > For a original ISA, I'd suggest a minimal basic, high code density ISA that also supports a large
> > > instruction format, say 40 to 48-bits, that can in one instruction drive an elaborate add-on?
> > <
> > My 66000 has better code density without 16-bit instructions than RISC-V has with 16-bit
> > instructions. I have instructions from 1-word in size to 5-words in size (although I have yet
> > to see a 5-word instruction emitted by Brian's compiler).
<
> I'm a fan of 24-bit RISC instructions, ugh, which is more difficult for multiple issue etc.
> The x86 adventure shows that this (non-32-bit alignment) is not a show stopper.
> At what silicon cost??
<
Actually, once you buy off on the fact you have variable length instructions (AND in this
context CoIssue, Instruction Fusing, Prefixes, Postfixes,...) are all versions of variable
length instructions; Once you know you have VLI, you develop pipeline structures which
deal with the VL nature of the beast. Things like:
a) wide fetch:: 4-wide on a 1-wide machine, pairs of 8-wide on a 6-wide machine
b) add a parse stage to the pipeline,
c) configure means to send constants directly to function units without forwarding,
d) configure predictor means to indicate that inst[5] from the current decode point
..... is being predicted by the predictor just read based on the fetch at IP..
<
An instruction buffer capable of holding 8 fetched units from the ICache is invisible
in silicon real estate these days, certainly smaller than the branch predictor, and
maybe about as valuable.
<
But once you have these things, all of a sudden, you don't need other things;
things like::
1) instructions that past bits together to make large constants.
2) instructions that add bits to IP for PIC data referencing
3) instructions that LD a constant from memory just to feed it to a FU,
4) instructions that LD a label from memory just to feed it to IP,
<
Leaving room for other stuff that actually gets work done..........

Re: Concertina II... again?

<4544d66f-61f1-430c-abd2-a03a1417f6c0n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=31262&group=comp.arch#31262

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:5c48:0:b0:3bf:b9d9:6759 with SMTP id j8-20020ac85c48000000b003bfb9d96759mr3425265qtj.8.1679205771071;
Sat, 18 Mar 2023 23:02:51 -0700 (PDT)
X-Received: by 2002:a05:6870:13d1:b0:17a:d3d2:dc75 with SMTP id
17-20020a05687013d100b0017ad3d2dc75mr857756oat.3.1679205770743; Sat, 18 Mar
2023 23:02:50 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border-2.nntp.ord.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 18 Mar 2023 23:02:50 -0700 (PDT)
In-Reply-To: <83924427-beaf-42cb-bc9f-70b44edc0150n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fb70:6300:6d9c:ef68:3849:eebe;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fb70:6300:6d9c:ef68:3849:eebe
References: <7fb66351-9136-492e-9879-5b338eef1359n@googlegroups.com>
<2fe3f94e-7906-4d17-bc24-c60bad807797n@googlegroups.com> <7eb5177a-53d2-491c-b925-cd2cf12e5d3bn@googlegroups.com>
<6cda3d10-b98a-4c15-b137-8af3b57409d8n@googlegroups.com> <eb937459-85ba-4059-93db-4695919adb90n@googlegroups.com>
<9107d944-e49d-4698-9672-1ea25beb7fbcn@googlegroups.com> <tv2h8i$2420q$1@dont-email.me>
<e20636cc-7b13-402d-83a1-8888318c3023n@googlegroups.com> <tv3lci$2cj7f$1@dont-email.me>
<2023Mar18.094753@mips.complang.tuwien.ac.at> <tv4qu1$2ip3i$1@dont-email.me>
<ccfadbd2-7f2c-4e41-8590-849ed65b537an@googlegroups.com> <1521c77d-b338-43d4-b5bd-431178ab63adn@googlegroups.com>
<83924427-beaf-42cb-bc9f-70b44edc0150n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <4544d66f-61f1-430c-abd2-a03a1417f6c0n@googlegroups.com>
Subject: Re: Concertina II... again?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sun, 19 Mar 2023 06:02:51 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 14
 by: Quadibloc - Sun, 19 Mar 2023 06:02 UTC

On Saturday, March 18, 2023 at 3:56:14 PM UTC-6, MitchAlsup wrote:

> My 66000 has better code density without 16-bit instructions than RISC-V has with 16-bit
> instructions.

I believe you. RISC-V does *not* have great code density.

One of the goals of Concertina II, though, is to achieve a level of code density that
approaches the theoretical maximum achievable... for a simple, straightforward
computer.

John Savard


devel / comp.arch / Re: Concertina II... again?

Pages:12345678
server_pubkey.txt

rocksolid light 0.9.81
clearnet tor