Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

Those who don't understand Linux are doomed to reinvent it, poorly. -- unidentified source


devel / comp.arch.fpga

1
SubjectRepliesLast Message
o Re: FPGA motherboard for 80386 CPU

By: R on Thu, 15 Feb 2024

0

1 Month 4 Days ago

By: R

o Re: Open source Verilog BCH encoder/decoder

By: Muhammad Tariq on Thu, 16 Nov 2023

0

4 Months 2 Days ago

By: Muhammad Tariq

o Too much spam

By: Chris Adams on Tue, 3 Oct 2023

4

4 Months 12 Days ago

By: Michael S

o Google adding " - Google Sheets" to pdf's I create

By: teamugly 123 on Sat, 7 Oct 2023

0

5 Months 12 Days ago

By: teamugly 123

o How can I disable Google Drive adding "- Google Sheets" to the name

By: teamugly 123 on Fri, 6 Oct 2023

0

5 Months 12 Days ago

By: teamugly 123

o Re: DDR RAM

By: AMTradez UAE on Fri, 6 Oct 2023

0

5 Months 12 Days ago

By: AMTradez UAE

o 32-bit Z8000 the Z80,000 and Z80320 Microprocessor

By: Nathan Brown on Wed, 5 Oct 2022

2

5 Months 26 Days ago

By: Nathan Brown

o All my PDF files suddenly become Chrome HTML Document! Why?

By: Tianxiang Weng on Fri, 28 May 2021

20

7 Months 20 Days ago

By: Greg Papoff

o How to define multi-cycle timing constraints in Lattice iCEcube2

By: Stef on Tue, 11 Jul 2023

10

7 Months 28 Days ago

By: gnuarm.del...@gmail.

o Download WooLentor Pro – WooCommerce Page Builder

By: Lizany Abonita on Sun, 9 Jul 2023

0

8 Months 9 Days ago

By: Lizany Abonita

o Vending Machine

By: Esma on Thu, 15 Jun 2023

1

9 Months 2 Days ago

By: gnuarm.del...@gmail.

o Foundation 1.3/XactStep 6 dongles and licensing

By: robert on Sun, 4 Jun 2023

0

9 Months 14 Days ago

By: robert

o Need Help To This Project

By: Akash ghosh on Tue, 9 May 2023

5

9 Months 16 Days ago

By: Adam Górski

o Embedding a Checksum in an Image File

By: gnuarm.del...@gmail. on Thu, 20 Apr 2023

2

10 Months 27 Days ago

By: Michael Schwingen

o Webinar : New Frontier and Threat Landscape in Space

By: Alon Refaeli on Thu, 20 Apr 2023

0

10 Months 27 Days ago

By: Alon Refaeli

o FPGA + ASIC PQC Security IP / hardware root of trust by Xiphera

By: Alon Refaeli on Thu, 20 Apr 2023

0

10 Months 27 Days ago

By: Alon Refaeli

o PCB Layout for BGAs

By: gnuarm.del...@gmail. on Sat, 7 Jan 2023

49

1 Year 1 Month ago

By: David Brown

o What happened to the OpenCores website

By: Wojciech Zabolotny on Wed, 18 Jan 2023

3

1 Year 1 Month ago

By: gnuarm.del...@gmail.

o Re: How to change the font size in text editor of modelsim

By: Prince Kumar Gupta on Tue, 15 Nov 2022

0

1 Year 4 Months ago

By: Prince Kumar Gupta

o Forcing Synopsys to use only DFFs

By: Chris Johnson on Thu, 20 Oct 2022

1

1 Year 4 Months ago

By: gnuarm.del...@gmail.

o Renesas has a 1 kLUT FPGA!

By: gnuarm.del...@gmail. on Mon, 10 Oct 2022

2

1 Year 5 Months ago

By: gnuarm.del...@gmail.

o Renesas GreenPAK Sales/FAE Support

By: gnuarm.del...@gmail. on Sun, 9 Oct 2022

0

1 Year 5 Months ago

By: gnuarm.del...@gmail.

o Intel announces new FPGA families

By: Claudio Avi Chami on Thu, 29 Sep 2022

2

1 Year 5 Months ago

By: Theo

o Hardware based IP protection of FPGA designs

By: gnuarm.del...@gmail. on Sat, 24 Sep 2022

10

1 Year 5 Months ago

By: gnuarm.del...@gmail.

o Re: (PDF) Solutions Manual Calculus, Single and Multivariable, 6th

By: Andrew Cao on Tue, 27 Sep 2022

0

1 Year 5 Months ago

By: Andrew Cao

o Research Assistantship at the Graduate School, Dept. of Computer

By: jg.lee on Mon, 26 Sep 2022

0

1 Year 5 Months ago

By: jg.lee

o Magellan VHDL monitor for Basys 3 board

By: Claudio Avi Chami on Sat, 24 Sep 2022

0

1 Year 5 Months ago

By: Claudio Avi Chami

o Last CFP: 22nd International Conference on Hybrid Intelligent Systems

By: Anu Bajaj on Fri, 9 Sep 2022

0

1 Year 6 Months ago

By: Anu Bajaj

o Wide frequency range, arbitrary waveform DDS

By: Stef on Tue, 16 Aug 2022

22

1 Year 6 Months ago

By: gnuarm.del...@gmail.

o Efinix FPGA

By: gnuarm.del...@gmail. on Tue, 12 Jul 2022

17

1 Year 7 Months ago

By: Clifford Heath

o 2nd CFP: 18th International Conference on Information Assurance and

By: Dr.Aswathy SU on Sun, 7 Aug 2022

0

1 Year 7 Months ago

By: Dr.Aswathy SU

o VHDL project. Connecting components to one component

By: Durko Rurko on Mon, 31 Jan 2022

1

1 Year 7 Months ago

By: 嚴子清

o Getting Rank of Elements in an Array using VHDL

By: Md Multan Biswas on Tue, 21 Jun 2022

1

1 Year 8 Months ago

By: Kevin

o First CFP: The 12th World Congress on Information and Communication

By: Anu Bajaj on Fri, 20 May 2022

0

1 Year 9 Months ago

By: Anu Bajaj

o First CFP: 13th International Conference on Innovations in

By: Anu Bajaj on Mon, 16 May 2022

0

1 Year 10 Months ago

By: Anu Bajaj

o Why Xilinx Ten Gigabit Ethernet PCS/PMA IP Core 32-bit version use

By: Qiu Shui on Sat, 3 Jul 2021

1

1 Year 10 Months ago

By: Hariprasad Bhat

o First CFP: 14th World Congress on Nature and Biologically Inspired

By: Anu Bajaj on Wed, 27 Apr 2022

0

1 Year 10 Months ago

By: Anu Bajaj

o First CFP: 18th International Conference on Information Assurance and

By: Anu Bajaj on Fri, 15 Apr 2022

0

1 Year 11 Months ago

By: Anu Bajaj

o First CFP: 22nd International Conference on Intelligent Systems

By: Anu Bajaj on Wed, 13 Apr 2022

0

1 Year 11 Months ago

By: Anu Bajaj

o First CFP: 22nd International Conference on Hybrid Intelligent

By: Anu Bajaj on Sat, 9 Apr 2022

0

1 Year 11 Months ago

By: Anu Bajaj

o Development tools for Xilinx Spartan 3

By: Stef on Thu, 3 Mar 2022

4

2 Years ago

By: Gerhard Hoffmann

o Calculation of throughput of sub-block in digital design (I)

By: Hassan Iqbal on Sun, 20 Feb 2022

0

2 Years ago

By: Hassan Iqbal

o Re: Xilinx microblaze vs. picoblaze

By: Alisha Pal on Fri, 18 Feb 2022

1

2 Years ago

By: Hassan Iqbal

o Old versions of quartus

By: Chris Adams on Tue, 8 Feb 2022

5

2 Years 1 Month ago

By: dalai lamah

o Quartus II Synthesis - System Memory Issues for Large Stratix 10 Design

By: Chris Adams on Fri, 29 Oct 2021

6

2 Years 1 Month ago

By: Theo

o Is it possible to amplify weak lows and weak highs?

By: Kevin Simonson on Sun, 2 Jan 2022

2

2 Years 1 Month ago

By: gnuarm.del...@gmail.

o BeMicro Cyclone III 64-bit drivers

By: Maur Vir on Fri, 21 Jan 2022

2

2 Years 1 Month ago

By: Maur Vir

o How to Implement a Random Access Memory at the Transistor Level

By: Kevin Simonson on Mon, 27 Dec 2021

2

2 Years 2 Months ago

By: Doug McIntyre

o Xilinx forums have disappeared?

By: Wojciech Zabolotny on Sun, 26 Sep 2021

10

2 Years 3 Months ago

By: Slawomir Siluk

o Re: Cheacksum implementation in VHDL

By: Vincent Li on Tue, 9 Nov 2021

7

2 Years 3 Months ago

By: gnuarm.del...@gmail.

o Verilog HDL Finite State Machine - detecting a decimal number

By: Tanishk Singh on Sun, 7 Nov 2021

1

2 Years 3 Months ago

By: gnuarm.del...@gmail.

o Re: Cheacksum implementation in VHDL

By: Vincent Li on Tue, 9 Nov 2021

0

2 Years 4 Months ago

By: Vincent Li

o Is there any software I can use to transform state machines in VHDL

By: Tianxiang Weng on Wed, 8 Sep 2021

7

2 Years 4 Months ago

By: Svenn Are Bjerkem

o UDP -FPGA point to point

By: Manav Nair on Mon, 18 Oct 2021

3

2 Years 4 Months ago

By: Richard Damon

o Re: Orcad Capture error DSM0006 and DBO3203

By: PROYMAV on Wed, 20 Oct 2021

0

2 Years 4 Months ago

By: PROYMAV

o Notepad++ is an excellent editor for coding VHDL

By: Tianxiang Weng on Wed, 26 May 2021

1

2 Years 5 Months ago

By: john

o GDB from my university...

By: Yousaf tehseen on Sun, 15 Aug 2021

2

2 Years 6 Months ago

By: Kevin

o PLL dynamic phase shift

By: promach on Sun, 1 Aug 2021

0

2 Years 7 Months ago

By: promach

o A state machine design problem

By: Tianxiang Weng on Thu, 8 Jul 2021

1

2 Years 8 Months ago

By: Tianxiang Weng

o Synthesis : Pan's Algorithm

By: promach on Thu, 8 Jul 2021

0

2 Years 8 Months ago

By: promach

o How long does it take to fill up an array prior to sorting?

By: Kevin Simonson on Mon, 21 Jun 2021

4

2 Years 8 Months ago

By: gnuarm.del...@gmail.

o A loop problem which does not do what is expected

By: Tianxiang Weng on Fri, 18 Jun 2021

9

2 Years 8 Months ago

By: gnuarm.del...@gmail.

o How to increase data of std_logic_vector by 1 in VHDL-2002

By: W TX on Mon, 24 May 2021

8

2 Years 8 Months ago

By: Tianxiang Weng

o Measuring ps of delays in FPGAs

By: partha sarathy on Mon, 21 Jun 2021

0

2 Years 8 Months ago

By: partha sarathy

o Enterpoint dev board manuals

By: Philip Pemberton on Fri, 18 Jun 2021

0

2 Years 9 Months ago

By: Philip Pemberton

o How to eliminate a troublesome warning from ModelSim

By: Tianxiang Weng on Thu, 17 Jun 2021

0

2 Years 9 Months ago

By: Tianxiang Weng

o How to run ModelSim overnight with display off

By: Tianxiang Weng on Fri, 11 Jun 2021

9

2 Years 9 Months ago

By: Tianxiang Weng

o How to start with FPGA as "coprocessor"

By: Thomas Koenig on Sat, 8 May 2021

19

2 Years 9 Months ago

By: Nelson Ribeiro

o How to turn off cursor note pane when cursor stops at wave pane of ModelSim

By: Tianxiang Weng on Fri, 4 Jun 2021

2

2 Years 9 Months ago

By: Tianxiang Weng

o Intel ModelSim Starter Edition is available free now!

By: W TX on Mon, 24 May 2021

18

2 Years 9 Months ago

By: Tianxiang Weng

o Using MachXO2 as a SRAM device

By: Piotr Wyderski on Tue, 25 May 2021

0

2 Years 9 Months ago

By: Piotr Wyderski

o VHDL2019 Webinars

By: HT-Lab on Fri, 30 Apr 2021

7

2 Years 10 Months ago

By: HT-Lab

o A bewildering Visio-2019 problem!

By: W TX on Sun, 11 Apr 2021

1

2 Years 11 Months ago

By: W TX

o Research Assistantship (Fall, 2021) at Dept. of Computer Engineering,

By: jg.lee on Mon, 5 Apr 2021

0

2 Years 11 Months ago

By: jg.lee

o Re: XILINX PCIe read of slow device

By: Luis Benites on Mon, 22 Mar 2021

0

2 Years 11 Months ago

By: Luis Benites

1

There are currently 609 users online
Total messages: 2,295,705

server_pubkey.txt

rocksolid light 0.9.7
clearnet tor