Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

What the scientists have in their briefcases is terrifying. -- Nikita Khruschev


devel / comp.lang.forth / eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

SubjectAuthor
* eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
+* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|`* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
| `* Re: eForth reborn on a late Christmas Present - a new new born MicroprocessorRafael Deliano
|  +* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |`* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  | `* Re: eForth reborn on a late Christmas Present - a new new born MicroprocessorRafael Deliano
|  |  +- Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |  `* Re: eForth reborn on a late Christmas Present - a new new bornMarcel Hendrix
|  |   `* Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |    `* Re: eForth reborn on a late Christmas Present - a new new bornMarcel Hendrix
|  |     +- Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |     +- Re: eForth reborn on a late Christmas Present - a new new born Microprocessor inAnton Ertl
|  |     `* Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |      `* Re: eForth reborn on a late Christmas Present - a new new bornKerr-Mudd, John
|  |       +* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |       |`* Re: eForth reborn on a late Christmas Present - a new new bornKerr-Mudd, John
|  |       | `- Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |       `* Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |        `* Re: eForth reborn on a late Christmas Present - a new new bornKerr-Mudd, John
|  |         `* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |          `* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |           `* Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |            +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |            `* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornKerr-Mudd, John
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |             +- Re: eForth reborn on a late Christmas Present - a new new born Microprocessor inJurgen Pitaske
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |             +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |             `* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornKerr-Mudd, John
|  |              +* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|  |              |`- Re: eForth reborn on a late Christmas Present - a new new borndxforth
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornMark Wills
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornWayne morellini
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |              +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  |              `- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|  `* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|   +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|   `* Re: eForth reborn on a late Christmas Present - a new new born MicroprocessorRafael Deliano
|    +* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|    |`- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|    `* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|     `* Re: eForth reborn on a late Christmas Present - a new new born MicroprocessorRafael Deliano
|      `* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|       `* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|        `* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|         `* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|          `* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|           `* Re: eForth reborn on a late Christmas Present - a new new bornJames Brakefield
|            +- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|            `* Re: eForth reborn on a late Christmas Present - a new new bornIlya Tarasov
|             `* Re: eForth reborn on a late Christmas Present - a new new bornJames Brakefield
|              +* Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|              |`* Re: eForth reborn on a late Christmas Present - a new new bornJames Brakefield
|              | `- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
|              `* Re: eForth reborn on a late Christmas Present - a new new bornIlya Tarasov
|               +* Re: eForth reborn on a late Christmas Present - a new new bornJames Brakefield
|               |`- Re: eForth reborn on a late Christmas Present - a new new bornIlya Tarasov
|               `* Re: eForth reborn on a late Christmas Present - a new new bornRick C
|                `- Re: eForth reborn on a late Christmas Present - a new new bornJurgen Pitaske
`* What about a Forth standard open source chip for manufacturers andWayne morellini
 `* Re: What about a Forth standard open source chip for manufacturersJurgen Pitaske
  +- Re: What about a Forth standard open source chip for manufacturersRick C
  `* Re: What about a Forth standard open source chip for manufacturersWayne morellini
   `* Re: What about a Forth standard open source chip for manufacturersJurgen Pitaske
    `* Re: What about a Forth standard open source chip for manufacturersWayne morellini
     +- Re: What about a Forth standard open source chip for manufacturersRick C
     `* Re: What about a Forth standard open source chip for manufacturers and MCU basedPaul Rubin
      `* Re: What about a Forth standard open source chip for manufacturersWayne morellini
       `* Comp.lang.forh banned on google, is it true? Re: What about a ForthWayne morellini
        +- Re: Comp.lang.forh banned on google, is it true? Re: What about aJurgen Pitaske
        `* Re: Comp.lang.forh banned on google, is it true? Re: What about adxforth
         +* Re: Comp.lang.forh banned on google, is it true? Re: What about aJurgen Pitaske
         |`- Re: Comp.lang.forh banned on google, is it true? Re: What about adxforth
         `* Re: Comp.lang.forh banned on google, is it true? Re: What about aWayne morellini
          `* Re: Comp.lang.forh banned on google, is it true?dxforth
           `* Re: Comp.lang.forh banned on google, is it true?Wayne morellini
            `* Re: Comp.lang.forh banned on google, is it true?dxforth
             `* Re: Comp.lang.forh banned on google, is it true?Wayne morellini
              `- Re: Comp.lang.forh banned on google, is it true?Jurgen Pitaske

Pages:1234
eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15856&group=comp.lang.forth#15856

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:21aa:: with SMTP id t10mr15040502qvc.50.1640616488403;
Mon, 27 Dec 2021 06:48:08 -0800 (PST)
X-Received: by 2002:ac8:5c03:: with SMTP id i3mr15624405qti.107.1640616488240;
Mon, 27 Dec 2021 06:48:08 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Mon, 27 Dec 2021 06:48:08 -0800 (PST)
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
Subject: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Mon, 27 Dec 2021 14:48:08 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 154
 by: Jurgen Pitaske - Mon, 27 Dec 2021 14:48 UTC

Dear Ting,

A late Christmas present.

I could convince Steve Teal
to code a microprocessor in VHDL
that I had been carrying around for the last 25 years.

And eForth was implemented by Steve as well.

Together with many tools that Steve did in addition.

He did all of the work, I just supplied what I had from then.

A big THANK YOU to Steve.
See it all on github.

https://github.com/Steve-Teal/eforth-misc16?fbclid=IwAR3J4bW3B0UruEk-_k3J8uzhDp6uX20InJJesv545WFu-gVok14Dd65Nj8Q

misc16-eforth

This project implements eForth on the MISC16, a 16-bit minimal CPU which only has a single instruction 'mov'.
The resources included here:
• Python based MISC16 assembler and simulator
• C based MISC16 simulator
• MISC16 assembly eForth
• VHDL MISC16 CPU core

History of MISC16

The MISC16 project started with an article by Douglas W. Jones:
http://homepage.cs.uiowa.edu/~jones/arch/risc/
Juergen Pintaske saw the article and discussed the approach with his colleagues.
At the time he had visited ARM and ARC in the UK, and this design looked like a “low-cost” ARC,
where additional functions can be easily added memory mapped,
without influencing the rest.
The result was the original hardware version, tested on a CPLD board,
developed in VHDL by Harry Siebert and the Mixed Mode ASIC Design Team.
Some Forth people in Munich were involved later as well after the board was up and running,
mainly Bernd Paysan.
Later on, Juergen Pintaske found out, that this design had been slightly modified at FH Nuremberg
and there then even been implemented as ASIC,
manufactured by AMS as a student project.

Juergen asked Mixed Mode, if this Mixed Mode Design can be published and used elsewhere,
as nobody was using it,
which was granted.

MISC16 Architecture

The MISC16 has a 16-bit address bus,
memory locations 0x0010-0xFFFF can be used for RAM, ROM, and IO,
the first 16 locations are reserved for a memory mapped ALU and Program Counter which implement virtual instructions.
+---------+-------------+------------------+
| Address | Source | Destination |
+---------+-------------+------------------+
| 0x0000 | PC | PC |
| 0x0001 | PC+2 | PC if A < 0 |
| 0x0002 | PC+4 | PC if A = 0 |
| 0x0003 | PC+6 | - |
| 0x0004 | - | PC if C = 1 |
| 0x0005 | - | - |
| 0x0006 | - | - |
| 0x0007 | [A] | [A] |
| 0x0008 | A | A |
| 0x0009 | - | A = A - source |
| 0x000A | - | - |
| 0x000B | - | A = A + source | ADD
| 0x000C | - | A = A xor source | XOR
| 0x000D | - | A = A or source | OR
| 0x000E | - | A = A and source | AND
| 0x000F | - | A = source >> 1 | SHIFT Right
+---------+-------------+------------------+
Program execution begins at address 0x0010,
after which the program counter increments by 2 with each instruction
until it is modified by an instruction whose destination is the program counter (PC).
Reading PC yields the program counter while it’s still pointing to the address of the instruction doing the read,
PC+2 is the next instruction and so on for PC+4 and PC+6.
A is the accumulator which can be used as an index register for indirect addressing.
The accumulator is the destination of the arithmetic and logic operations
and can be used to conditionally load the program counter for conditional jumps.
The carry flag C is also used to conditionally load the PC,
it is modified by the add and subtract instructions, for subtract it becomes borrow.
The carry flag is used in the shift right instruction (0x000F),
here it has the least significant bit of the accumulator shifted into it and its old value shifted into the most significant bit.

Assembler

The Python script 'misc.py' implements a very simple MISC16 assembler; it only understands 5 keywords:
+-----+---------------------------------------+
| mov | Move instruction |
| equ | Assign a constant integer to a symbol |
| db | Define a byte |
| dw | Define a word |
| org | Change current assembler pointer |
+---------------------------------------------+
The assembler uses Python 3.

The following command line will assemble the eForth image,
generating a binary image,
an FPGA memory initialisation file
and a listing file.
python misc.py eforth.asm eforth.bin eforth.lst eforth.mif

The listing file can be viewed with a text editor
and used as an insight into the syntax of the assembly language.

The binary file can be used with the C based simulator
and the .MIF file for using to initialize FPGA block memory with Intel Quartus.
If you don't need all the output files these can be left off and they won't be generated.

Simulator

By not specifying any output files, misc.py will run the simulator after a successful assembly.
A version of the simulator written in C is included;
the source code resides in a single file and can easily be built by gcc or another C compiler.

When compiled, it is run by specifying the binary image on the command line..

misc eforth.bin

License
misc16-eforth is distributed under the terms of the MIT license.

+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++

Enjoy
Have a nice rest of the Festive Season
and All the Best for 2022.

Kind regards

Juergen Pintaske

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15861&group=comp.lang.forth#15861

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ad4:5dec:: with SMTP id jn12mr16832440qvb.114.1640640366490;
Mon, 27 Dec 2021 13:26:06 -0800 (PST)
X-Received: by 2002:ac8:5a54:: with SMTP id o20mr16329110qta.480.1640640366315;
Mon, 27 Dec 2021 13:26:06 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Mon, 27 Dec 2021 13:26:06 -0800 (PST)
In-Reply-To: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=65.207.89.54; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 65.207.89.54
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: gnuarm.d...@gmail.com (Rick C)
Injection-Date: Mon, 27 Dec 2021 21:26:06 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 179
 by: Rick C - Mon, 27 Dec 2021 21:26 UTC

On Monday, December 27, 2021 at 9:48:09 AM UTC-5, jpit...@gmail.com wrote:
> Dear Ting,
>
> A late Christmas present.
>
> I could convince Steve Teal
> to code a microprocessor in VHDL
> that I had been carrying around for the last 25 years.
>
> And eForth was implemented by Steve as well.
>
> Together with many tools that Steve did in addition.
>
> He did all of the work, I just supplied what I had from then.
>
> A big THANK YOU to Steve.
> See it all on github.
>
>
> https://github.com/Steve-Teal/eforth-misc16?fbclid=IwAR3J4bW3B0UruEk-_k3J8uzhDp6uX20InJJesv545WFu-gVok14Dd65Nj8Q
>
> misc16-eforth
>
> This project implements eForth on the MISC16, a 16-bit minimal CPU which only has a single instruction 'mov'.
> The resources included here:
> • Python based MISC16 assembler and simulator
> • C based MISC16 simulator
> • MISC16 assembly eForth
> • VHDL MISC16 CPU core
>
> History of MISC16
>
> The MISC16 project started with an article by Douglas W. Jones:
> http://homepage.cs.uiowa.edu/~jones/arch/risc/
> Juergen Pintaske saw the article and discussed the approach with his colleagues.
> At the time he had visited ARM and ARC in the UK, and this design looked like a “low-cost” ARC,
> where additional functions can be easily added memory mapped,
> without influencing the rest.
> The result was the original hardware version, tested on a CPLD board,
> developed in VHDL by Harry Siebert and the Mixed Mode ASIC Design Team.
> Some Forth people in Munich were involved later as well after the board was up and running,
> mainly Bernd Paysan.
> Later on, Juergen Pintaske found out, that this design had been slightly modified at FH Nuremberg
> and there then even been implemented as ASIC,
> manufactured by AMS as a student project.
>
> Juergen asked Mixed Mode, if this Mixed Mode Design can be published and used elsewhere,
> as nobody was using it,
> which was granted.
>
> MISC16 Architecture
>
> The MISC16 has a 16-bit address bus,
> memory locations 0x0010-0xFFFF can be used for RAM, ROM, and IO,
> the first 16 locations are reserved for a memory mapped ALU and Program Counter which implement virtual instructions.
> +---------+-------------+------------------+
> | Address | Source | Destination |
> +---------+-------------+------------------+
> | 0x0000 | PC | PC |
> | 0x0001 | PC+2 | PC if A < 0 |
> | 0x0002 | PC+4 | PC if A = 0 |
> | 0x0003 | PC+6 | - |
> | 0x0004 | - | PC if C = 1 |
> | 0x0005 | - | - |
> | 0x0006 | - | - |
> | 0x0007 | [A] | [A] |
> | 0x0008 | A | A |
> | 0x0009 | - | A = A - source |
> | 0x000A | - | - |
> | 0x000B | - | A = A + source | ADD
> | 0x000C | - | A = A xor source | XOR
> | 0x000D | - | A = A or source | OR
> | 0x000E | - | A = A and source | AND
> | 0x000F | - | A = source >> 1 | SHIFT Right
> +---------+-------------+------------------+
> Program execution begins at address 0x0010,
> after which the program counter increments by 2 with each instruction
> until it is modified by an instruction whose destination is the program counter (PC).
> Reading PC yields the program counter while it’s still pointing to the address of the instruction doing the read,
> PC+2 is the next instruction and so on for PC+4 and PC+6.
> A is the accumulator which can be used as an index register for indirect addressing.
> The accumulator is the destination of the arithmetic and logic operations
> and can be used to conditionally load the program counter for conditional jumps.
> The carry flag C is also used to conditionally load the PC,
> it is modified by the add and subtract instructions, for subtract it becomes borrow.
> The carry flag is used in the shift right instruction (0x000F),
> here it has the least significant bit of the accumulator shifted into it and its old value shifted into the most significant bit.
>
> Assembler
>
> The Python script 'misc.py' implements a very simple MISC16 assembler; it only understands 5 keywords:
> +-----+---------------------------------------+
> | mov | Move instruction |
> | equ | Assign a constant integer to a symbol |
> | db | Define a byte |
> | dw | Define a word |
> | org | Change current assembler pointer |
> +---------------------------------------------+
> The assembler uses Python 3.
>
> The following command line will assemble the eForth image,
> generating a binary image,
> an FPGA memory initialisation file
> and a listing file.
> python misc.py eforth.asm eforth.bin eforth.lst eforth.mif
>
> The listing file can be viewed with a text editor
> and used as an insight into the syntax of the assembly language.
>
> The binary file can be used with the C based simulator
> and the .MIF file for using to initialize FPGA block memory with Intel Quartus.
> If you don't need all the output files these can be left off and they won't be generated.
>
> Simulator
>
> By not specifying any output files, misc.py will run the simulator after a successful assembly.
> A version of the simulator written in C is included;
> the source code resides in a single file and can easily be built by gcc or another C compiler.
>
> When compiled, it is run by specifying the binary image on the command line.
>
> misc eforth.bin
>
> License
> misc16-eforth is distributed under the terms of the MIT license.
>
> +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
>
> Enjoy
> Have a nice rest of the Festive Season
> and All the Best for 2022.
>
> Kind regards

I have to admit I am getting lazy in my old age. So I'm not willing to do a lot of work to investigate YAMC (Yet Another MISC CPU) without having at least some idea of what this MISC does that the other sixteen thousand eight hundred 'n' twenty one MISC CPUs I've seen don't do or at least does a little bit better. Juergen's description doesn't indicate that and it doesn't provide enough detail to understand how it works. The link to github seems to provide the same text as above which is the readme.md file. I don't actually see a description of the MOV instruction, any indication of the instruction size or coding, word width or the addressable unit size (bytes? words?). It says the PC is incremented by 2 for each instruction, but is that 2 words, 2 bytes??? What exactly does the MOV instruction do?

All files in the repository other than the readme.md file are source code or the license. So I guess someone will need to reverse engineer these files and hopefully produce an architecture and instruction description. Or is all the needed information here and I simply missed it somehow?

--

Rick C.

- Get 1,000 miles of free Supercharging
- Tesla referral code - https://ts.la/richard11209

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15865&group=comp.lang.forth#15865

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:2409:: with SMTP id fv9mr18318802qvb.24.1640679105414;
Tue, 28 Dec 2021 00:11:45 -0800 (PST)
X-Received: by 2002:a05:620a:121b:: with SMTP id u27mr14590155qkj.419.1640679105202;
Tue, 28 Dec 2021 00:11:45 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 00:11:45 -0800 (PST)
In-Reply-To: <7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com> <7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 28 Dec 2021 08:11:45 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 196
 by: Jurgen Pitaske - Tue, 28 Dec 2021 08:11 UTC

On Monday, 27 December 2021 at 21:26:07 UTC, gnuarm.del...@gmail.com wrote:
> On Monday, December 27, 2021 at 9:48:09 AM UTC-5, jpit...@gmail.com wrote:
> > Dear Ting,
> >
> > A late Christmas present.
> >
> > I could convince Steve Teal
> > to code a microprocessor in VHDL
> > that I had been carrying around for the last 25 years.
> >
> > And eForth was implemented by Steve as well.
> >
> > Together with many tools that Steve did in addition.
> >
> > He did all of the work, I just supplied what I had from then.
> >
> > A big THANK YOU to Steve.
> > See it all on github.
> >
> >
> > https://github.com/Steve-Teal/eforth-misc16?fbclid=IwAR3J4bW3B0UruEk-_k3J8uzhDp6uX20InJJesv545WFu-gVok14Dd65Nj8Q
> >
> > misc16-eforth
> >
> > This project implements eForth on the MISC16, a 16-bit minimal CPU which only has a single instruction 'mov'.
> > The resources included here:
> > • Python based MISC16 assembler and simulator
> > • C based MISC16 simulator
> > • MISC16 assembly eForth
> > • VHDL MISC16 CPU core
> >
> > History of MISC16
> >
> > The MISC16 project started with an article by Douglas W. Jones:
> > http://homepage.cs.uiowa.edu/~jones/arch/risc/
> > Juergen Pintaske saw the article and discussed the approach with his colleagues.
> > At the time he had visited ARM and ARC in the UK, and this design looked like a “low-cost” ARC,
> > where additional functions can be easily added memory mapped,
> > without influencing the rest.
> > The result was the original hardware version, tested on a CPLD board,
> > developed in VHDL by Harry Siebert and the Mixed Mode ASIC Design Team.
> > Some Forth people in Munich were involved later as well after the board was up and running,
> > mainly Bernd Paysan.
> > Later on, Juergen Pintaske found out, that this design had been slightly modified at FH Nuremberg
> > and there then even been implemented as ASIC,
> > manufactured by AMS as a student project.
> >
> > Juergen asked Mixed Mode, if this Mixed Mode Design can be published and used elsewhere,
> > as nobody was using it,
> > which was granted.
> >
> > MISC16 Architecture
> >
> > The MISC16 has a 16-bit address bus,
> > memory locations 0x0010-0xFFFF can be used for RAM, ROM, and IO,
> > the first 16 locations are reserved for a memory mapped ALU and Program Counter which implement virtual instructions.
> > +---------+-------------+------------------+
> > | Address | Source | Destination |
> > +---------+-------------+------------------+
> > | 0x0000 | PC | PC |
> > | 0x0001 | PC+2 | PC if A < 0 |
> > | 0x0002 | PC+4 | PC if A = 0 |
> > | 0x0003 | PC+6 | - |
> > | 0x0004 | - | PC if C = 1 |
> > | 0x0005 | - | - |
> > | 0x0006 | - | - |
> > | 0x0007 | [A] | [A] |
> > | 0x0008 | A | A |
> > | 0x0009 | - | A = A - source |
> > | 0x000A | - | - |
> > | 0x000B | - | A = A + source | ADD
> > | 0x000C | - | A = A xor source | XOR
> > | 0x000D | - | A = A or source | OR
> > | 0x000E | - | A = A and source | AND
> > | 0x000F | - | A = source >> 1 | SHIFT Right
> > +---------+-------------+------------------+
> > Program execution begins at address 0x0010,
> > after which the program counter increments by 2 with each instruction
> > until it is modified by an instruction whose destination is the program counter (PC).
> > Reading PC yields the program counter while it’s still pointing to the address of the instruction doing the read,
> > PC+2 is the next instruction and so on for PC+4 and PC+6.
> > A is the accumulator which can be used as an index register for indirect addressing.
> > The accumulator is the destination of the arithmetic and logic operations
> > and can be used to conditionally load the program counter for conditional jumps.
> > The carry flag C is also used to conditionally load the PC,
> > it is modified by the add and subtract instructions, for subtract it becomes borrow.
> > The carry flag is used in the shift right instruction (0x000F),
> > here it has the least significant bit of the accumulator shifted into it and its old value shifted into the most significant bit.
> >
> > Assembler
> >
> > The Python script 'misc.py' implements a very simple MISC16 assembler; it only understands 5 keywords:
> > +-----+---------------------------------------+
> > | mov | Move instruction |
> > | equ | Assign a constant integer to a symbol |
> > | db | Define a byte |
> > | dw | Define a word |
> > | org | Change current assembler pointer |
> > +---------------------------------------------+
> > The assembler uses Python 3.
> >
> > The following command line will assemble the eForth image,
> > generating a binary image,
> > an FPGA memory initialisation file
> > and a listing file.
> > python misc.py eforth.asm eforth.bin eforth.lst eforth.mif
> >
> > The listing file can be viewed with a text editor
> > and used as an insight into the syntax of the assembly language.
> >
> > The binary file can be used with the C based simulator
> > and the .MIF file for using to initialize FPGA block memory with Intel Quartus.
> > If you don't need all the output files these can be left off and they won't be generated.
> >
> > Simulator
> >
> > By not specifying any output files, misc.py will run the simulator after a successful assembly.
> > A version of the simulator written in C is included;
> > the source code resides in a single file and can easily be built by gcc or another C compiler.
> >
> > When compiled, it is run by specifying the binary image on the command line.
> >
> > misc eforth.bin
> >
> > License
> > misc16-eforth is distributed under the terms of the MIT license.
> >
> > +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
> >
> > Enjoy
> > Have a nice rest of the Festive Season
> > and All the Best for 2022.
> >
> > Kind regards
> I have to admit I am getting lazy in my old age. So I'm not willing to do a lot of work to investigate YAMC (Yet Another MISC CPU) without having at least some idea of what this MISC does that the other sixteen thousand eight hundred 'n' twenty one MISC CPUs I've seen don't do or at least does a little bit better. Juergen's description doesn't indicate that and it doesn't provide enough detail to understand how it works. The link to github seems to provide the same text as above which is the readme.md file. I don't actually see a description of the MOV instruction, any indication of the instruction size or coding, word width or the addressable unit size (bytes? words?). It says the PC is incremented by 2 for each instruction, but is that 2 words, 2 bytes??? What exactly does the MOV instruction do?
>
> All files in the repository other than the readme.md file are source code or the license. So I guess someone will need to reverse engineer these files and hopefully produce an architecture and instruction description. Or is all the needed information here and I simply missed it somehow?
>
> --
>
> Rick C.
>
> - Get 1,000 miles of free Supercharging
> - Tesla referral code - https://ts.la/richard11209

LOL LOL LOL LOL LOL LOL LOL LOL LOL LOL

You are really getting oldas you say.
And your eyes are getting worse as well, so you cannot follow the link to the original Article
Where it is described and as well links to other implementations..

Does it matter?
Not really, as you cannot even read/grasp that this email had been addressed sent toTing.

This was NOT addressed to you.

PLEASE DUMP YOUR SHIT ELSEWHERE and stay out of my posts.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<sqektm$ouh$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15866&group=comp.lang.forth#15866

  copy link   Newsgroups: comp.lang.forth
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: rafael_d...@arcor.de (Rafael Deliano)
Newsgroups: comp.lang.forth
Subject: Re: eForth reborn on a late Christmas Present - a new new born Microprocessor
in FPGA
Date: Tue, 28 Dec 2021 10:20:55 +0100
Organization: A noiseless patient Spider
Lines: 38
Message-ID: <sqektm$ouh$1@dont-email.me>
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com> <7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Injection-Date: Tue, 28 Dec 2021 09:20:54 -0000 (UTC)
Injection-Info: reader02.eternal-september.org; posting-host="2dc7d2f8e6525abd541a3c758fa2e876";
logging-data="25553"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+5EveUUwOGzsTMbiYHuQP+uNHZtQvwkUQ="
User-Agent: Mozilla/5.0 (Windows NT 5.1; rv:16.0) Gecko/20121010 Thunderbird/16.0.1
Cancel-Lock: sha1:Xvooyl5Dr3ERPANr7Tnin/FBa8M=
In-Reply-To: <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
 by: Rafael Deliano - Tue, 28 Dec 2021 09:20 UTC

> You are really getting oldas you say.

Not only him.

/ The result was the original hardware version, tested on a CPLD board,
/ developed in VHDL by Harry Siebert and the Mixed Mode ASIC
/ Design Team. Some Forth people in Munich were involved
/ later as well after the board was up and running, mainly
/ Bernd Paysan.

Text gave no indication that this was quite some
time ago: 1997 in Munich.
The german Forth-journal VD is still around.
The archive is online:
https://wiki.forth-ev.de/doku.php/vd-archiv

Paysan did an article ( in german ) then:
https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d1997_1.pdf
Paysan "Gforth auf MISC portiert" <Gforth ported to MISC>

The Mixed Mode board with the Forth on it was on the Forth e.V.
booth on (small) industry trade fairs like "Echtzeit" and "embedded" then.

On page 38 is a photo of the monthly meeting of the Munich Group
with Paysan:
https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d2007-0304.pdf
I have none with Pintaske who showed up there sometimes then.

So then: in 1997 FPGAs were smaller, putting a CPU on them was new.
The M in MISC was "minimum RISC", it was low performance.
It did not have much of real world practicality. The Jones-CPU had
no connection to Forth, and only a cross-assembler for software.
Pintaske did sales at Mixed Mode, this was a publicity stunt for the
company. He contacted Forth e.V. to get a HLL for it. Decent software
seemed necessary if it would ever move to the real world.

MfG JRD

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<fcc62f15-0c7e-47f2-85b7-5f823a75eff3n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15869&group=comp.lang.forth#15869

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:622a:2d5:: with SMTP id a21mr18448121qtx.56.1640692167765;
Tue, 28 Dec 2021 03:49:27 -0800 (PST)
X-Received: by 2002:ae9:f708:: with SMTP id s8mr14010079qkg.306.1640692167609;
Tue, 28 Dec 2021 03:49:27 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 03:49:27 -0800 (PST)
In-Reply-To: <sqektm$ouh$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <fcc62f15-0c7e-47f2-85b7-5f823a75eff3n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 28 Dec 2021 11:49:27 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 72
 by: Jurgen Pitaske - Tue, 28 Dec 2021 11:49 UTC

On Tuesday, 28 December 2021 at 09:20:56 UTC, Rafael Deliano wrote:
> > You are really getting old as you say.
> Not only him.
>
> / The result was the original hardware version, tested on a CPLD board,
> / developed in VHDL by Harry Siebert and the Mixed Mode ASIC
> / Design Team. Some Forth people in Munich were involved
> / later as well after the board was up and running, mainly
> / Bernd Paysan.
>
> Text gave no indication that this was quite some
> time ago: 1997 in Munich.
> The German Forth-journal VD is still around.
> The archive is online:
> https://wiki.forth-ev.de/doku.php/vd-archiv
>
> Paysan did an article ( in German ) then:
> https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d1997_1.pdf
> Paysan "Gforth auf MISC portiert" <Gforth ported to MISC>
>
> The Mixed Mode board with the Forth on it was on the Forth e.V.
> booth on (small) industry trade fairs like "Echtzeit" and "embedded" then.
>
> On page 38 is a photo of the monthly meeting of the Munich Group
> with Paysan:
> https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d2007-0304.pdf
> I have none with Pintaske who showed up there sometimes then.
>
> So then: in 1997 FPGAs were smaller, putting a CPU on them was new.
> The M in MISC was "minimum RISC", it was low performance.
> It did not have much of real world practicality. The Jones-CPU had
> no connection to Forth, and only a cross-assembler for software.
> Pintaske did sales at Mixed Mode, this was a publicity stunt for the
> company. He contacted Forth e.V. to get a HLL for it. Decent software
> seemed necessary if it would ever move to the real world.
>
> MfG JRD

Thank you very much for the additional information, Rafael.
Some of this I had not seen before.
So next week it will be the 25th year then from the first implementation.

And the board was shown on Embedded 97. Brings back nice memories.
This was shortly before I left MM and went to the UK.
There I did a completely different job - so MISC was in the background.

Only 2 slight corrections:

I did not contact contact Forth eV,
but was then part of the Munich Forth Group, and Bernd was there as well.
Actually, if you not not look too closely - it nearly looks like me then.
The guy on the right.
https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d2007-0304.pdf
page 38

This MISC Project was not just a Publicity Stunt.
We used this project to show/discuss the Mixed Mode capabilities to customers for
----VHDL-Design
----PCB design and
----Software design, with the Assembler as example
To discuss this with our customers
who were interested in IP, and the other design services we provided;
and it nearly made it into ASIC projects at Fraunhofer Stuttgart.
They actually re-wrote the VHDL.

As well I assume that many customers I showed it to,
were a bit too experienced to see it as a publicity stunt.
From then:
Marketing, Market Introduction,
Sales, Contract Design, ASIC Design,
Embedded Software development
for companies in Germany/Austria/Switzerland like:
Ericsson, Infineon, Siemens, SciWorx, TRW ...

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<bb18d78b-939f-416c-a974-f20e95a2d3efn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15870&group=comp.lang.forth#15870

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ae9:e809:: with SMTP id a9mr13687775qkg.771.1640695568551;
Tue, 28 Dec 2021 04:46:08 -0800 (PST)
X-Received: by 2002:ad4:5de9:: with SMTP id jn9mr18698369qvb.87.1640695568265;
Tue, 28 Dec 2021 04:46:08 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 04:46:08 -0800 (PST)
In-Reply-To: <fcc62f15-0c7e-47f2-85b7-5f823a75eff3n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <fcc62f15-0c7e-47f2-85b7-5f823a75eff3n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <bb18d78b-939f-416c-a974-f20e95a2d3efn@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 28 Dec 2021 12:46:08 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 88
 by: Jurgen Pitaske - Tue, 28 Dec 2021 12:46 UTC

On Tuesday, 28 December 2021 at 11:49:28 UTC, Jurgen Pitaske wrote:
> On Tuesday, 28 December 2021 at 09:20:56 UTC, Rafael Deliano wrote:
> > > You are really getting old as you say.
> > Not only him.
> >
> > / The result was the original hardware version, tested on a CPLD board,
> > / developed in VHDL by Harry Siebert and the Mixed Mode ASIC
> > / Design Team. Some Forth people in Munich were involved
> > / later as well after the board was up and running, mainly
> > / Bernd Paysan.
> >
> > Text gave no indication that this was quite some
> > time ago: 1997 in Munich.
> > The German Forth-journal VD is still around.
> > The archive is online:
> > https://wiki.forth-ev.de/doku.php/vd-archiv
> >
> > Paysan did an article ( in German ) then:
> > https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d1997_1.pdf
> > Paysan "Gforth auf MISC portiert" <Gforth ported to MISC>
> >
> > The Mixed Mode board with the Forth on it was on the Forth e.V.
> > booth on (small) industry trade fairs like "Echtzeit" and "embedded" then.
> >
> > On page 38 is a photo of the monthly meeting of the Munich Group
> > with Paysan:
> > https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d2007-0304.pdf
> > I have none with Pintaske who showed up there sometimes then.
> >
> > So then: in 1997 FPGAs were smaller, putting a CPU on them was new.
> > The M in MISC was "minimum RISC", it was low performance.
> > It did not have much of real world practicality. The Jones-CPU had
> > no connection to Forth, and only a cross-assembler for software.
> > Pintaske did sales at Mixed Mode, this was a publicity stunt for the
> > company. He contacted Forth e.V. to get a HLL for it. Decent software
> > seemed necessary if it would ever move to the real world.
> >
> > MfG JRD
> Thank you very much for the additional information, Rafael.
> Some of this I had not seen before.
> So next week it will be the 25th year then from the first implementation.
>
> And the board was shown on Embedded 97. Brings back nice memories.
> This was shortly before I left MM and went to the UK.
> There I did a completely different job - so MISC was in the background.
>
> Only 2 slight corrections:
>
> I did not contact contact Forth eV,
> but was then part of the Munich Forth Group, and Bernd was there as well.
> Actually, if you not not look too closely - it nearly looks like me then.
> The guy on the right.
> https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d2007-0304.pdf
> page 38
>
> This MISC Project was not just a Publicity Stunt.
> We used this project to show/discuss the Mixed Mode capabilities to customers for
> ----VHDL-Design
> ----PCB design and
> ----Software design, with the Assembler as example
> To discuss this with our customers
> who were interested in IP, and the other design services we provided;
> and it nearly made it into ASIC projects at Fraunhofer Stuttgart.
> They actually re-wrote the VHDL.
>
> As well I assume that many customers I showed it to,
> were a bit too experienced to see it as a publicity stunt.
> From then:
> Marketing, Market Introduction,
> Sales, Contract Design, ASIC Design,
> Embedded Software development
> for companies in Germany/Austria/Switzerland like:
> Ericsson, Infineon, Siemens, SciWorx, TRW ...

By the way, this project was never planned to attack Intel - neither then nor now.
So performance does not matter really - just is it fast enough for where it is used.
It is an interesting design exercise - and I am happy it is there now;
how small a CPU can be to do something useful
- here actually to run FORTH - another publicity stunt according to the mind set of some people here?

I had posted this MISC information here as it might be of interest to some
- and especially as a Thank You to Steve and Ting.
Without them it would not have been possible.

And it is the second joint activity after The FIG FORTH Manual,
where Steve designed a reduced feature CDP1802 to run the Forth successfully.
https://www.amazon.co.uk/Juergen-Pintaske/e/B00N8HVEZM
And this link to the Forth books is definitely a promotional activity ...

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15872&group=comp.lang.forth#15872

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:2086:: with SMTP id e6mr12554901qka.746.1640701649202;
Tue, 28 Dec 2021 06:27:29 -0800 (PST)
X-Received: by 2002:ae9:f708:: with SMTP id s8mr14486817qkg.306.1640701648904;
Tue, 28 Dec 2021 06:27:28 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 06:27:28 -0800 (PST)
In-Reply-To: <sqektm$ouh$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=65.207.89.54; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 65.207.89.54
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: gnuarm.d...@gmail.com (Rick C)
Injection-Date: Tue, 28 Dec 2021 14:27:29 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 53
 by: Rick C - Tue, 28 Dec 2021 14:27 UTC

On Tuesday, December 28, 2021 at 4:20:56 AM UTC-5, Rafael Deliano wrote:
> > You are really getting oldas you say.
> Not only him.
>
> / The result was the original hardware version, tested on a CPLD board,
> / developed in VHDL by Harry Siebert and the Mixed Mode ASIC
> / Design Team. Some Forth people in Munich were involved
> / later as well after the board was up and running, mainly
> / Bernd Paysan.
>
> Text gave no indication that this was quite some
> time ago: 1997 in Munich.
> The german Forth-journal VD is still around.
> The archive is online:
> https://wiki.forth-ev.de/doku.php/vd-archiv
>
> Paysan did an article ( in german ) then:
> https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d1997_1.pdf
> Paysan "Gforth auf MISC portiert" <Gforth ported to MISC>
>
> The Mixed Mode board with the Forth on it was on the Forth e.V.
> booth on (small) industry trade fairs like "Echtzeit" and "embedded" then..
>
> On page 38 is a photo of the monthly meeting of the Munich Group
> with Paysan:
> https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d2007-0304.pdf
> I have none with Pintaske who showed up there sometimes then.
>
> So then: in 1997 FPGAs were smaller, putting a CPU on them was new.
> The M in MISC was "minimum RISC", it was low performance.
> It did not have much of real world practicality. The Jones-CPU had
> no connection to Forth, and only a cross-assembler for software.
> Pintaske did sales at Mixed Mode, this was a publicity stunt for the
> company. He contacted Forth e.V. to get a HLL for it. Decent software
> seemed necessary if it would ever move to the real world.

So did you find any info on the processor architecture like instruction format?

From what is provided, I agree that this CPU seems to have no particular connection to Forth. It is not stack oriented. The only "feature" I can see is that it might be smaller than some other designs. It must have some such advantages because it would be exceedingly slow. I have looked at other such one instruction designs and they require many instructions to accomplish what other designs do in a single instruction.

--

Rick C.

+ Get 1,000 miles of free Supercharging
+ Tesla referral code - https://ts.la/richard11209

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<6099eaf0-427f-42b6-862d-142ca29a6e01n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15876&group=comp.lang.forth#15876

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:25ca:: with SMTP id y10mr15390200qko.526.1640706457510;
Tue, 28 Dec 2021 07:47:37 -0800 (PST)
X-Received: by 2002:a37:a7cd:: with SMTP id q196mr15328415qke.110.1640706457317;
Tue, 28 Dec 2021 07:47:37 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 07:47:37 -0800 (PST)
In-Reply-To: <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <6099eaf0-427f-42b6-862d-142ca29a6e01n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 28 Dec 2021 15:47:37 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 102
 by: Jurgen Pitaske - Tue, 28 Dec 2021 15:47 UTC

On Tuesday, 28 December 2021 at 14:27:30 UTC, gnuarm.del...@gmail.com wrote:
> On Tuesday, December 28, 2021 at 4:20:56 AM UTC-5, Rafael Deliano wrote:
> > > You are really getting oldas you say.
> > Not only him.
> >
> > / The result was the original hardware version, tested on a CPLD board,
> > / developed in VHDL by Harry Siebert and the Mixed Mode ASIC
> > / Design Team. Some Forth people in Munich were involved
> > / later as well after the board was up and running, mainly
> > / Bernd Paysan.
> >
> > Text gave no indication that this was quite some
> > time ago: 1997 in Munich.
> > The german Forth-journal VD is still around.
> > The archive is online:
> > https://wiki.forth-ev.de/doku.php/vd-archiv
> >
> > Paysan did an article ( in german ) then:
> > https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d1997_1.pdf
> > Paysan "Gforth auf MISC portiert" <Gforth ported to MISC>
> >
> > The Mixed Mode board with the Forth on it was on the Forth e.V.
> > booth on (small) industry trade fairs like "Echtzeit" and "embedded" then.
> >
> > On page 38 is a photo of the monthly meeting of the Munich Group
> > with Paysan:
> > https://wiki.forth-ev.de/lib/exe/fetch.php/vd-archiv:4d2007-0304.pdf
> > I have none with Pintaske who showed up there sometimes then.
> >
> > So then: in 1997 FPGAs were smaller, putting a CPU on them was new.
> > The M in MISC was "minimum RISC", it was low performance.
> > It did not have much of real world practicality. The Jones-CPU had
> > no connection to Forth, and only a cross-assembler for software.
> > Pintaske did sales at Mixed Mode, this was a publicity stunt for the
> > company. He contacted Forth e.V. to get a HLL for it. Decent software
> > seemed necessary if it would ever move to the real world.
> So did you find any info on the processor architecture like instruction format?
>
> From what is provided, I agree that this CPU seems to have no particular connection to Forth. It is not stack oriented. The only "feature" I can see is that it might be smaller than some other designs. It must have some such advantages because it would be exceedingly slow. I have looked at other such one instruction designs and they require many instructions to accomplish what other designs do in a single instruction.
>
> --
>
> Rick C.
>
> + Get 1,000 miles of free Supercharging
> + Tesla referral code - https://ts.la/richard11209

+------- --+-------------+------------------+
| Address | Source | Destination |
+------- --+-------------+------------------+
| 0x0000 | ---PC----- | PC------------- |
| 0x0001 | PC+2---- | PC if A < 0 -| DECISIONS
| 0x0002 | PC+4---- | PC if A = 0 -| DECISIONS
| 0x0003 | PC+6---- | - --------------|
| 0x0004 | - ------------|- PC if C = 1 | DECOSIONS
| 0x0005 | - ----------| - --------------|
| 0x0006 | - | - |
| 0x0007 | [A] | [A] |
| 0x0008 | A | A |
| 0x0009 | - ------------| A = A - source --- |
| 0x000A | - -----------| - -------------------------|
| 0x000B | - -----------| A = A + source | ADD
| 0x000C | - -----------| A = A xor source | XOR
| 0x000D | - -----------| A = A or source | OR
| 0x000E | - -----------| A = A and source | AND
| 0x000F | - -----------| A = source >> 1 | SHIFT Right
+-------------+-----------+-----------------------------+

This is all you have.
It was the simplicity that was interesting at the time.
A Microprocessor IP that was easy to build and to explain - a Lattice 1016 or 1032 at the time - now FPGA.
The VHDL code here fits onto 4 pages of paper. Copied it from github
OK, UART and IO not includeed.
Performance was secondary.
At the time in 1997 we called it UPS processor
This 16 bit processor just shifts 16 bit data packages from address A to address B. Or into the ALU.
All of the instructions are here in this list
The ALU is memory mapped as well as you can see.

BUT:
Use 4 of these blocks in parallel, and you have a 64 Bit wide Processor
- address range as required in the application
Add any IO or other functionality - the processor just needs to know the addresses of the memory map.
Or have a few of these small processors on the same FPGA, until you run out of ressources.

And read more here as given in the post above http://homepage.cs.uiowa.edu/~jones/arch/risc/
Example code is there as well.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<sqfcb8$llt$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15877&group=comp.lang.forth#15877

  copy link   Newsgroups: comp.lang.forth
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: rafael_d...@arcor.de (Rafael Deliano)
Newsgroups: comp.lang.forth
Subject: Re: eForth reborn on a late Christmas Present - a new new born Microprocessor
in FPGA
Date: Tue, 28 Dec 2021 17:00:42 +0100
Organization: A noiseless patient Spider
Lines: 20
Message-ID: <sqfcb8$llt$1@dont-email.me>
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com> <7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com> <sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Injection-Date: Tue, 28 Dec 2021 16:00:40 -0000 (UTC)
Injection-Info: reader02.eternal-september.org; posting-host="926dd8e46221554f3dc193053725c0d0";
logging-data="22205"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+tfarKGtvx/ecwV74zU6VRuBsz+iEiHz8="
User-Agent: Mozilla/5.0 (Windows NT 5.1; rv:16.0) Gecko/20121010 Thunderbird/16.0.1
Cancel-Lock: sha1:iYDZrBwhfFjG0YF9zynQDl93oBM=
In-Reply-To: <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
 by: Rafael Deliano - Tue, 28 Dec 2021 16:00 UTC

> So did you find any info on the processor architecture like instruction format?

"Hard" information on a "soft" CPU is always tricky. Paysan states in
the article he got from Mixed Mode a simulator "with the then currently
used instruction set". So MixedMode hadn't finalized.
Together with Jens Wilke he did a 3 day(&night) port over the weekend
and sent the Intel-Hex to MixedMode.
They first did a 20 primitive version but came to the conclusion
that even on a Pentium that was to slow. In the article he lists the
47 primitives that were used.

> it would be exceedingly slow.

Paysans Forth is big and was intended for PCs. So it might not be ideal.
On the other hand their usual demo was as fas as i can remember
Tetris VT220 terminal style. Thats what visitors on the
trade fair got to see. It had no serious speed problem.

MfG JRD

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<sqfeq9$76o$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15879&group=comp.lang.forth#15879

  copy link   Newsgroups: comp.lang.forth
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: rafael_d...@arcor.de (Rafael Deliano)
Newsgroups: comp.lang.forth
Subject: Re: eForth reborn on a late Christmas Present - a new new born Microprocessor
in FPGA
Date: Tue, 28 Dec 2021 17:42:50 +0100
Organization: A noiseless patient Spider
Lines: 27
Message-ID: <sqfeq9$76o$1@dont-email.me>
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com> <7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com> <sqektm$ouh$1@dont-email.me> <fcc62f15-0c7e-47f2-85b7-5f823a75eff3n@googlegroups.com> <bb18d78b-939f-416c-a974-f20e95a2d3efn@googlegroups.com>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Injection-Date: Tue, 28 Dec 2021 16:42:49 -0000 (UTC)
Injection-Info: reader02.eternal-september.org; posting-host="926dd8e46221554f3dc193053725c0d0";
logging-data="7384"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+pVTV7vugyGnmj2LlRBY9cP4IXGxARRX0="
User-Agent: Mozilla/5.0 (Windows NT 5.1; rv:16.0) Gecko/20121010 Thunderbird/16.0.1
Cancel-Lock: sha1:m3lV1NEYZrDQ22FPvXsgE8lu6X0=
In-Reply-To: <bb18d78b-939f-416c-a974-f20e95a2d3efn@googlegroups.com>
 by: Rafael Deliano - Tue, 28 Dec 2021 16:42 UTC

> By the way, this project was never planned to attack Intel - neither then nor now.

Neither was RTX2000 chosen by Harris to be the fastest RISC. But
with its ASIC-Bus intended for combination with application specific
IO in standard cell design.
The same thing was assumed for FPGA-CPUs: they could never compete on
performance with real CPUs or controllers, but could more tightly couple
to IO. And then be competitive in an narrow application.
It did not turn out that way. FPGAs got bigger, people invented
more complex pseudo-RTX CPUs. Without much thought what would
be a reasonable application for the new toy.

> So performance does not matter really - just is it fast enough for where it is used.

This year the old dutch/german/UK "Elector" magazine had their 60th
anniversary. They fondly remembered their projects with the old
ROM-based Intel 8051 BASIC-controllers. These were slow. Didn't
much bother the people that used them. They were cheap & easy
to use, thats what was relevant.

One could probably exhume the MISC16 for magazines like (the german)
MAKE: or Elector in combination with an application like "multiple motor
controller for robot programmable in HLL language" that is implemented
on the same FPGA.

MfG JRD

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<7f3367e3-a907-4fd5-9fdd-b3ae7927e155n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15881&group=comp.lang.forth#15881

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:c8f:: with SMTP id r15mr19607627qvr.57.1640709929025;
Tue, 28 Dec 2021 08:45:29 -0800 (PST)
X-Received: by 2002:a05:6214:1d0d:: with SMTP id e13mr20114478qvd.69.1640709928862;
Tue, 28 Dec 2021 08:45:28 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 08:45:28 -0800 (PST)
In-Reply-To: <sqfcb8$llt$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=65.207.89.54; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 65.207.89.54
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7f3367e3-a907-4fd5-9fdd-b3ae7927e155n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: gnuarm.d...@gmail.com (Rick C)
Injection-Date: Tue, 28 Dec 2021 16:45:29 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 48
 by: Rick C - Tue, 28 Dec 2021 16:45 UTC

On Tuesday, December 28, 2021 at 11:00:42 AM UTC-5, Rafael Deliano wrote:
> > So did you find any info on the processor architecture like instruction format?
> "Hard" information on a "soft" CPU is always tricky. Paysan states in
> the article he got from Mixed Mode a simulator "with the then currently
> used instruction set". So MixedMode hadn't finalized.
> Together with Jens Wilke he did a 3 day(&night) port over the weekend
> and sent the Intel-Hex to MixedMode.
> They first did a 20 primitive version but came to the conclusion
> that even on a Pentium that was to slow. In the article he lists the
> 47 primitives that were used.
> > it would be exceedingly slow.
> Paysans Forth is big and was intended for PCs. So it might not be ideal.
> On the other hand their usual demo was as fas as i can remember
> Tetris VT220 terminal style. Thats what visitors on the
> trade fair got to see. It had no serious speed problem.

Lol. I don't know what it takes to run Tetris. I would not use such a demo to evaluate a soft CPU in an FPGA. I use soft CPU cores for management of operations on a chip which is high speed, real time. Responding to a key press is not the sort of application I would be looking for a soft core to handle. No offense intended.

J. seems to think the table in the documentation of the functions connected to the first 16 locations in memory are adequate documentation. But these locations are accessed by the MOV command which is not documented at all that I can see. Do you know how the MOV command is structured? With a 16 bit address it would seem cumbersome to include a source and destination, but maybe that's all the instruction is, a pair of addresses?

Who knows? Without basic info like I've already pointed out is lacking (like data word size and whether the address is byte or word oriented) it's hard to know how this thing works.

I'm curious, but not enough to put a bunch of effort into reverse engineering it. I'm certainly not going to try to get J. to calm down enough to discuss it. I have no idea why he has to fly off the handle so easily. He really comes across as a loon when he is like that. The worst is when he's arguing with Hugh or that Peter Forth guy whatever his real name is. Talk about loons, that guy really takes the cake!

--

Rick C.

-- Get 1,000 miles of free Supercharging
-- Tesla referral code - https://ts.la/richard11209

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<83ccc141-a0f5-4410-b9c2-ce2fbb19baf5n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15882&group=comp.lang.forth#15882

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:20ec:: with SMTP id 12mr19212957qvk.0.1640710234932;
Tue, 28 Dec 2021 08:50:34 -0800 (PST)
X-Received: by 2002:a05:6214:301e:: with SMTP id ke30mr19904891qvb.2.1640710234770;
Tue, 28 Dec 2021 08:50:34 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 08:50:34 -0800 (PST)
In-Reply-To: <7f3367e3-a907-4fd5-9fdd-b3ae7927e155n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <7f3367e3-a907-4fd5-9fdd-b3ae7927e155n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <83ccc141-a0f5-4410-b9c2-ce2fbb19baf5n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 28 Dec 2021 16:50:34 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 57
 by: Jurgen Pitaske - Tue, 28 Dec 2021 16:50 UTC

On Tuesday, 28 December 2021 at 16:45:29 UTC, gnuarm.del...@gmail.com wrote:
> On Tuesday, December 28, 2021 at 11:00:42 AM UTC-5, Rafael Deliano wrote:
> > > So did you find any info on the processor architecture like instruction format?
> > "Hard" information on a "soft" CPU is always tricky. Paysan states in
> > the article he got from Mixed Mode a simulator "with the then currently
> > used instruction set". So MixedMode hadn't finalized.
> > Together with Jens Wilke he did a 3 day(&night) port over the weekend
> > and sent the Intel-Hex to MixedMode.
> > They first did a 20 primitive version but came to the conclusion
> > that even on a Pentium that was to slow. In the article he lists the
> > 47 primitives that were used.
> > > it would be exceedingly slow.
> > Paysans Forth is big and was intended for PCs. So it might not be ideal..
> > On the other hand their usual demo was as fas as i can remember
> > Tetris VT220 terminal style. Thats what visitors on the
> > trade fair got to see. It had no serious speed problem.
> Lol. I don't know what it takes to run Tetris. I would not use such a demo to evaluate a soft CPU in an FPGA. I use soft CPU cores for management of operations on a chip which is high speed, real time. Responding to a key press is not the sort of application I would be looking for a soft core to handle. No offense intended.
>
> J. seems to think the table in the documentation of the functions connected to the first 16 locations in memory are adequate documentation. But these locations are accessed by the MOV command which is not documented at all that I can see. Do you know how the MOV command is structured? With a 16 bit address it would seem cumbersome to include a source and destination, but maybe that's all the instruction is, a pair of addresses?
>
> Who knows? Without basic info like I've already pointed out is lacking (like data word size and whether the address is byte or word oriented) it's hard to know how this thing works.
>
> I'm curious, but not enough to put a bunch of effort into reverse engineering it. I'm certainly not going to try to get J. to calm down enough to discuss it. I have no idea why he has to fly off the handle so easily. He really comes across as a loon when he is like that. The worst is when he's arguing with Hugh or that Peter Forth guy whatever his real name is. Talk about loons, that guy really takes the cake!
>
> --
>
> Rick C.
>
> -- Get 1,000 miles of free Supercharging
> -- Tesla referral code - https://ts.la/richard11209

As I said higher up
- read tghe fucking documentation and SW examples - else please keep your mouth shut and dump elsewhere.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<a3e94c24-3669-44f9-a517-cc3e3e272cacn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15883&group=comp.lang.forth#15883

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:4446:: with SMTP id w6mr15858598qkp.631.1640710508125;
Tue, 28 Dec 2021 08:55:08 -0800 (PST)
X-Received: by 2002:a05:622a:1652:: with SMTP id y18mr19516956qtj.63.1640710507941;
Tue, 28 Dec 2021 08:55:07 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 08:55:07 -0800 (PST)
In-Reply-To: <sqfeq9$76o$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=65.207.89.54; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 65.207.89.54
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <fcc62f15-0c7e-47f2-85b7-5f823a75eff3n@googlegroups.com>
<bb18d78b-939f-416c-a974-f20e95a2d3efn@googlegroups.com> <sqfeq9$76o$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a3e94c24-3669-44f9-a517-cc3e3e272cacn@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: gnuarm.d...@gmail.com (Rick C)
Injection-Date: Tue, 28 Dec 2021 16:55:08 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 63
 by: Rick C - Tue, 28 Dec 2021 16:55 UTC

On Tuesday, December 28, 2021 at 11:42:50 AM UTC-5, Rafael Deliano wrote:
> > By the way, this project was never planned to attack Intel - neither then nor now.
> Neither was RTX2000 chosen by Harris to be the fastest RISC. But
> with its ASIC-Bus intended for combination with application specific
> IO in standard cell design.
> The same thing was assumed for FPGA-CPUs: they could never compete on
> performance with real CPUs or controllers, but could more tightly couple
> to IO.

Not sure what that means. FPGA based CPUs can run very fast. More importantly, they can be designed to run as fast as required and not faster wasting resources. They are typically much less expensive than a separate CPU as they are mostly used when an FPGA is already in the design. The other advantage is they can be added in whatever quantity might work best. I have assigned an entire CPU to one task to make sure it meets the timing requirements.

> And then be competitive in an narrow application.
> It did not turn out that way. FPGAs got bigger, people invented
> more complex pseudo-RTX CPUs. Without much thought what would
> be a reasonable application for the new toy.
> > So performance does not matter really - just is it fast enough for where it is used.

That is absolutely true. But if you have a slower design it suits fewer design applications.

> This year the old dutch/german/UK "Elector" magazine had their 60th
> anniversary. They fondly remembered their projects with the old
> ROM-based Intel 8051 BASIC-controllers. These were slow. Didn't
> much bother the people that used them. They were cheap & easy
> to use, thats what was relevant.

Yup. They were used for many things. Soft cores in FPGAs don't necessarily need to be "fast", just fast enough. But that's a moving target and if your only advantage is size but a much faster design is only slightly larger, which design do you think will fit more applications? If you are going to the effort of designing a soft core CPU, why spend time messing with a design that will only be optimal in a small number of apps? That sounds like the GA144 approach. Do one thing really well and see if anyone finds a use for it.

This design hasn't been measured for size has it? How many 4 input LUTs and FFs does it use?

> One could probably exhume the MISC16 for magazines like (the german)
> MAKE: or Elector in combination with an application like "multiple motor
> controller for robot programmable in HLL language" that is implemented
> on the same FPGA.

Ok

--

Rick C.

-+ Get 1,000 miles of free Supercharging
-+ Tesla referral code - https://ts.la/richard11209

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15884&group=comp.lang.forth#15884

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ac8:5e4d:: with SMTP id i13mr19360817qtx.232.1640711096315;
Tue, 28 Dec 2021 09:04:56 -0800 (PST)
X-Received: by 2002:a37:aac6:: with SMTP id t189mr15816271qke.327.1640711096023;
Tue, 28 Dec 2021 09:04:56 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 09:04:55 -0800 (PST)
In-Reply-To: <sqfcb8$llt$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 28 Dec 2021 17:04:56 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 50
 by: Jurgen Pitaske - Tue, 28 Dec 2021 17:04 UTC

On Tuesday, 28 December 2021 at 16:00:42 UTC, Rafael Deliano wrote:
> > So did you find any info on the processor architecture like instruction format?
> "Hard" information on a "soft" CPU is always tricky.

Paysan states in
> the article he got from Mixed Mode a simulator "with the then currently
> used instruction set". So MixedMode hadn't finalized.

This is wrong and you should know it if you were involved
- otherwise you just try to interpret the article

> Together with Jens Wilke he did a 3 day(&night) port over the weekend
> and sent the Intel-Hex to MixedMode.

This whole port activity had nothing to do with the original design and with Mixed Mode.
The port of Forth was never used / demonstrated later,
as long as I worked there.
So it was a private student exercise who wanted to know if they can replicate what worked already..

How well it worked and how many bugs might have been still in there - nobody knows.
But it was not relevant.

> They first did a 20 primitive version but came to the conclusion
> that even on a Pentium that was to slow. In the article he lists the
> 47 primitives that were used.
> > it would be exceedingly slow.
> Paysans Forth is big and was intended for PCs. So it might not be ideal.

Why do you not say it: it was too bloated for such a little processor.
So, a bad choice on Bernd's side to start with this package in the first place.
Funnily enough, It now seems to run eForth well enough as the video shows.

> On the other hand their usual demo was as fas as i can remember
> Tetris VT220 terminal style. Thats what visitors on the
> trade fair got to see.

> It had no serious speed problem.

Either there were speed problems or not
- or are you making this up after 25 years to make MM look bad??

As a summary: I do not like your negativity.
Mixed Mode and the 2 other companies were about 30 SW and HW designers at the time
- they knew what they were doing.
And finished the design as far as needed for this IP Demo Project.

Now to say that a couple of students - using a language that nobody at MM had experience with -
did not work as it should is a bit far fetched.
>
> MfG JRD

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<a3a8e80b-ed13-47bb-9bdc-321c2f0c66a0n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15891&group=comp.lang.forth#15891

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ac8:5a84:: with SMTP id c4mr19955853qtc.565.1640719709217;
Tue, 28 Dec 2021 11:28:29 -0800 (PST)
X-Received: by 2002:a37:69c4:: with SMTP id e187mr16463978qkc.159.1640719709069;
Tue, 28 Dec 2021 11:28:29 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 11:28:28 -0800 (PST)
In-Reply-To: <sqfeq9$76o$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:1c05:2f14:600:501c:f67e:e27e:8581;
posting-account=-JQ2RQoAAAB6B5tcBTSdvOqrD1HpT_Rk
NNTP-Posting-Host: 2001:1c05:2f14:600:501c:f67e:e27e:8581
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <fcc62f15-0c7e-47f2-85b7-5f823a75eff3n@googlegroups.com>
<bb18d78b-939f-416c-a974-f20e95a2d3efn@googlegroups.com> <sqfeq9$76o$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a3a8e80b-ed13-47bb-9bdc-321c2f0c66a0n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: mhx...@iae.nl (Marcel Hendrix)
Injection-Date: Tue, 28 Dec 2021 19:28:29 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 11
 by: Marcel Hendrix - Tue, 28 Dec 2021 19:28 UTC

On Tuesday, December 28, 2021 at 5:42:50 PM UTC+1, Rafael Deliano wrote:
> Neither was RTX2000 chosen by Harris to be the fastest RISC.

Well, at the time I was a student still, and I remember quite vividly that
I believed (for some reason) that the RTX2000 was the fastest processor
around. I bought a development board but was not impressed.
Of course, in that before-the-internet era it was very difficult to get
essential documentation and access critical benchmarks. We had to make
do with Jeff Fox's interpretations of CM's personal communications.
Of course, all that has radically changed for the good.

-marcel

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<sqfp9f$h94$1@dont-email.me>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15892&group=comp.lang.forth#15892

  copy link   Newsgroups: comp.lang.forth
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: rafael_d...@arcor.de (Rafael Deliano)
Newsgroups: comp.lang.forth
Subject: Re: eForth reborn on a late Christmas Present - a new new born Microprocessor
in FPGA
Date: Tue, 28 Dec 2021 20:41:37 +0100
Organization: A noiseless patient Spider
Lines: 56
Message-ID: <sqfp9f$h94$1@dont-email.me>
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com> <7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com> <sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com> <sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Injection-Date: Tue, 28 Dec 2021 19:41:35 -0000 (UTC)
Injection-Info: reader02.eternal-september.org; posting-host="926dd8e46221554f3dc193053725c0d0";
logging-data="17700"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/hrx9UrC4uAjKX/cnoF6WXq5K0Pnc4KjI="
User-Agent: Mozilla/5.0 (Windows NT 5.1; rv:16.0) Gecko/20121010 Thunderbird/16.0.1
Cancel-Lock: sha1:7YMEmDDwlz+Drzur316yoOiIb7E=
In-Reply-To: <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
 by: Rafael Deliano - Tue, 28 Dec 2021 19:41 UTC

>> he got from Mixed Mode a simulator "with the then currently
>> used instruction set". So MixedMode hadn't finalized.

> This is wrong and you should know it if you were involved
> - otherwise you just try to interpret the article

I stated whats written in the article and how Paysan saw it then.

> The port of Forth was never used / demonstrated later,
> as long as I worked there.

It was unpaid, semiofficial. I, Wilke and Paysan did a visit
to MixedMode to say hello to the R&D staff there and have a short
meeting about what to do. The board/HW was loaned by the company
and later returned. The top brass of the company wasn't involved.

>> Paysans Forth is big and was intended for PCs.
> Why do you not say it: it was too bloated for such a little processor.

Paysan was by then probably working at the university at his own
stackprocessor-project and therefore interested enough to do
a port. Since it was unpaid you had to take what was on offer.
And since you got results fast and good enough to demo there
is no reason to complain.

>> Tetris ... It had no serious speed problem.
> Either there were speed problems or not
> - or are you making this up after 25 years to make MM look bad??

There were obviously no detailed requirements by MixedMode on
performance. For Paysan as soon as Tetris was running decently
he considered a port stable and the job done.

> As a summary: I do not like your negativity.
> Mixed Mode and the 2 other companies were about 30 SW and HW designers at the time
> - they knew what they were doing.
> And finished the design as far as needed for this IP Demo Project.

It was your pet-project and may have had some backing with the R&D staff
at MixedMode. It had much less backing from the bean-counters at the top
of the company as it was unclear how ever to earn money with it.
I am not making this up: your words then before you left for UK.
I have a bloody good memory.

Much as Rick now i had then problems understanding how that thing works.
Paysan had to explain it me and i have long since forgotten.
So Paysans Forth may have been the high point concerning software
then. There was never any talk of MixedMode doing a C-compiler.
It is highly unreasonable to expect a customer micro-coding in assembler
with that instructions set any real world
application. Even if some sort of macro-assembler would have
been available: there is a tradeoff in size of the CPU and
code-density. Usually a very unfavourable one.

MfG JRD

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15893&group=comp.lang.forth#15893

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a0c:fec6:: with SMTP id z6mr21354955qvs.40.1640726179734;
Tue, 28 Dec 2021 13:16:19 -0800 (PST)
X-Received: by 2002:a05:6214:1d0d:: with SMTP id e13mr21181350qvd.69.1640726179610;
Tue, 28 Dec 2021 13:16:19 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 28 Dec 2021 13:16:19 -0800 (PST)
In-Reply-To: <sqfp9f$h94$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 28 Dec 2021 21:16:19 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 70
 by: Jurgen Pitaske - Tue, 28 Dec 2021 21:16 UTC

On Tuesday, 28 December 2021 at 19:41:37 UTC, Rafael Deliano wrote:
> >> he got from Mixed Mode a simulator "with the then currently
> >> used instruction set". So MixedMode hadn't finalized.
>
> > This is wrong and you should know it if you were involved
> > - otherwise you just try to interpret the article
> I stated whats written in the article and how Paysan saw it then.
> > The port of Forth was never used / demonstrated later,
> > as long as I worked there.
> It was unpaid, semiofficial. I, Wilke and Paysan did a visit
> to MixedMode to say hello to the R&D staff there and have a short
> meeting about what to do. The board/HW was loaned by the company
> and later returned. The top brass of the company wasn't involved.
> >> Paysans Forth is big and was intended for PCs.
> > Why do you not say it: it was too bloated for such a little processor.
> Paysan was by then probably working at the university at his own
> stackprocessor-project and therefore interested enough to do
> a port. Since it was unpaid you had to take what was on offer.
> And since you got results fast and good enough to demo there
> is no reason to complain.
>
> >> Tetris ... It had no serious speed problem.
> > Either there were speed problems or not
> > - or are you making this up after 25 years to make MM look bad??
> There were obviously no detailed requirements by MixedMode on
> performance. For Paysan as soon as Tetris was running decently
> he considered a port stable and the job done.
> > As a summary: I do not like your negativity.
> > Mixed Mode and the 2 other companies were about 30 SW and HW designers at the time
> > - they knew what they were doing.
> > And finished the design as far as needed for this IP Demo Project.
> It was your pet-project and may have had some backing with the R&D staff
> at MixedMode. It had much less backing from the bean-counters at the top
> of the company as it was unclear how ever to earn money with it.
> I am not making this up: your words then before you left for UK.
> I have a bloody good memory.
>
> Much as Rick now i had then problems understanding how that thing works.
> Paysan had to explain it me and i have long since forgotten.
> So Paysans Forth may have been the high point concerning software
> then. There was never any talk of MixedMode doing a C-compiler.
> It is highly unreasonable to expect a customer micro-coding in assembler
> with that instructions set any real world
> application. Even if some sort of macro-assembler would have
> been available: there is a tradeoff in size of the CPU and
> code-density. Usually a very unfavourable one.
>
> MfG JRD

As it seems you know the MM organisation better than me - I give up.
And it actually does not matter.
And if you do not understand this processor, this does not matter either.
This project has achieved what was planned then.
It would have been nice to achieve more then - but there we are.

Steve seems to understand the processor very well
and as well knows what he is doing
in rebuilding the VHDL
and adapting the eForth to run.
And an Assembler.
You might not know - but Assembler is still used nowadays where required.

Success in all areas - but I am sure you find negative aspects here as well.
I am grateful for all of Steve's efforts to bring this processor back to life.
Basically the second processor he coded in VHDL for me.
How useful is MISC now?
We will find out over time.
This is not for money or business.
This is for fun - and this has been achieved.
Actually 2 times - then and now.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15965&group=comp.lang.forth#15965

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:268e:: with SMTP id gm14mr7277723qvb.24.1641022640575;
Fri, 31 Dec 2021 23:37:20 -0800 (PST)
X-Received: by 2002:a05:6214:1d0d:: with SMTP id e13mr34964792qvd.69.1641022640366;
Fri, 31 Dec 2021 23:37:20 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Fri, 31 Dec 2021 23:37:20 -0800 (PST)
In-Reply-To: <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Sat, 01 Jan 2022 07:37:20 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 90
 by: Jurgen Pitaske - Sat, 1 Jan 2022 07:37 UTC

On Tuesday, 28 December 2021 at 21:16:20 UTC, Jurgen Pitaske wrote:
> On Tuesday, 28 December 2021 at 19:41:37 UTC, Rafael Deliano wrote:
> > >> he got from Mixed Mode a simulator "with the then currently
> > >> used instruction set". So MixedMode hadn't finalized.
> >
> > > This is wrong and you should know it if you were involved
> > > - otherwise you just try to interpret the article
> > I stated whats written in the article and how Paysan saw it then.
> > > The port of Forth was never used / demonstrated later,
> > > as long as I worked there.
> > It was unpaid, semiofficial. I, Wilke and Paysan did a visit
> > to MixedMode to say hello to the R&D staff there and have a short
> > meeting about what to do. The board/HW was loaned by the company
> > and later returned. The top brass of the company wasn't involved.
> > >> Paysans Forth is big and was intended for PCs.
> > > Why do you not say it: it was too bloated for such a little processor.
> > Paysan was by then probably working at the university at his own
> > stackprocessor-project and therefore interested enough to do
> > a port. Since it was unpaid you had to take what was on offer.
> > And since you got results fast and good enough to demo there
> > is no reason to complain.
> >
> > >> Tetris ... It had no serious speed problem.
> > > Either there were speed problems or not
> > > - or are you making this up after 25 years to make MM look bad??
> > There were obviously no detailed requirements by MixedMode on
> > performance. For Paysan as soon as Tetris was running decently
> > he considered a port stable and the job done.
> > > As a summary: I do not like your negativity.
> > > Mixed Mode and the 2 other companies were about 30 SW and HW designers at the time
> > > - they knew what they were doing.
> > > And finished the design as far as needed for this IP Demo Project.
> > It was your pet-project and may have had some backing with the R&D staff
> > at MixedMode. It had much less backing from the bean-counters at the top
> > of the company as it was unclear how ever to earn money with it.
> > I am not making this up: your words then before you left for UK.
> > I have a bloody good memory.
> >
> > Much as Rick now i had then problems understanding how that thing works.
> > Paysan had to explain it me and i have long since forgotten.
> > So Paysans Forth may have been the high point concerning software
> > then. There was never any talk of MixedMode doing a C-compiler.
> > It is highly unreasonable to expect a customer micro-coding in assembler
> > with that instructions set any real world
> > application. Even if some sort of macro-assembler would have
> > been available: there is a tradeoff in size of the CPU and
> > code-density. Usually a very unfavourable one.
> >
> > MfG JRD
> As it seems you know the MM organisation better than me - I give up.
> And it actually does not matter.
> And if you do not understand this processor, this does not matter either.
> This project has achieved what was planned then.
> It would have been nice to achieve more then - but there we are.
>
> Steve seems to understand the processor very well
> and as well knows what he is doing
> in rebuilding the VHDL
> and adapting the eForth to run.
> And an Assembler.
> You might not know - but Assembler is still used nowadays where required.
>
> Success in all areas - but I am sure you find negative aspects here as well.
> I am grateful for all of Steve's efforts to bring this processor back to life.
> Basically the second processor he coded in VHDL for me.
> How useful is MISC now?
> We will find out over time.
> This is not for money or business.
> This is for fun - and this has been achieved.
> Actually 2 times - then and now.

It seems Professor Emeritus Douglas Jones
who wrote the article in the first place,
did like the implementation Steve did,
and added a comment in his article based on my email to him.

https://homepage.cs.uiowa.edu/~jones/arch/risc/

In late December 2021,
Juergen Pintaske pointed me at Steve Teal's VHDL implementation
of Juergen's MISC16 variant on the Ulitmate RISC.
This has a slightly closer coupling between the move engine and the ALU,
enough that you can just call the two of them a CPU,
and it has been manufactured as an ASIC.
An eForth interpreter has been written for it,
so it can actually be used with an (arguably) high-level language.
The whole project, including VHDL code,
the eForth interpreter in assembly,
a cross assembler writtein in Python
and a C emulator for MISC16
is available on Github.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=15985&group=comp.lang.forth#15985

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a37:a5d1:: with SMTP id o200mr26723174qke.525.1641088441667;
Sat, 01 Jan 2022 17:54:01 -0800 (PST)
X-Received: by 2002:ac8:590a:: with SMTP id 10mr35755351qty.186.1641088441509;
Sat, 01 Jan 2022 17:54:01 -0800 (PST)
Path: i2pn2.org!i2pn.org!aioe.org!news.uzoreto.com!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sat, 1 Jan 2022 17:54:01 -0800 (PST)
In-Reply-To: <99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=162.12.231.45; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 162.12.231.45
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: gnuarm.d...@gmail.com (Rick C)
Injection-Date: Sun, 02 Jan 2022 01:54:01 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 29
 by: Rick C - Sun, 2 Jan 2022 01:54 UTC

On Saturday, January 1, 2022 at 2:37:21 AM UTC-5, jpit...@gmail.com wrote:
> It seems Professor Emeritus Douglas Jones
> who wrote the article in the first place,
> did like the implementation Steve did,
> and added a comment in his article based on my email to him.
>
> https://homepage.cs.uiowa.edu/~jones/arch/risc/
>
> In late December 2021,
> Juergen Pintaske pointed me at Steve Teal's VHDL implementation
> of Juergen's MISC16 variant on the Ulitmate RISC.
> This has a slightly closer coupling between the move engine and the ALU,
> enough that you can just call the two of them a CPU,
> and it has been manufactured as an ASIC.
> An eForth interpreter has been written for it,
> so it can actually be used with an (arguably) high-level language.
> The whole project, including VHDL code,
> the eForth interpreter in assembly,
> a cross assembler writtein in Python
> and a C emulator for MISC16
> is available on Github.

Sounds great. Now to get significant numbers of people to adopt it just requires appropriate documentation. That was a big failure of the GA144. Tools were written, but with little documentation.

--

Rick C.

- Get 1,000 miles of free Supercharging
- Tesla referral code - https://ts.la/richard11209

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16002&group=comp.lang.forth#16002

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:622a:60a:: with SMTP id z10mr36830232qta.175.1641110390505;
Sat, 01 Jan 2022 23:59:50 -0800 (PST)
X-Received: by 2002:a37:78b:: with SMTP id 133mr26232448qkh.99.1641110390317;
Sat, 01 Jan 2022 23:59:50 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sat, 1 Jan 2022 23:59:50 -0800 (PST)
In-Reply-To: <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com> <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Sun, 02 Jan 2022 07:59:50 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 36
 by: Jurgen Pitaske - Sun, 2 Jan 2022 07:59 UTC

On Sunday, 2 January 2022 at 01:54:02 UTC, gnuarm.del...@gmail.com wrote:
> On Saturday, January 1, 2022 at 2:37:21 AM UTC-5, jpit...@gmail.com wrote:
> > It seems Professor Emeritus Douglas Jones
> > who wrote the article in the first place,
> > did like the implementation Steve did,
> > and added a comment in his article based on my email to him.
> >
> > https://homepage.cs.uiowa.edu/~jones/arch/risc/
> >
> > In late December 2021,
> > Juergen Pintaske pointed me at Steve Teal's VHDL implementation
> > of Juergen's MISC16 variant on the Ulitmate RISC.
> > This has a slightly closer coupling between the move engine and the ALU,
> > enough that you can just call the two of them a CPU,
> > and it has been manufactured as an ASIC.
> > An eForth interpreter has been written for it,
> > so it can actually be used with an (arguably) high-level language.
> > The whole project, including VHDL code,
> > the eForth interpreter in assembly,
> > a cross assembler writtein in Python
> > and a C emulator for MISC16
> > is available on Github.

> Sounds great.
Now to get significant numbers of people to adopt it
just requires appropriate documentation.
That was a big failure of the GA144.
Tools were written, but with little documentation.
>
> --
>
> Rick C.
>
> - Get 1,000 miles of free Supercharging
> - Tesla referral code - https://ts.la/richard11209

The project for 2022.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<d14459f6-fd89-4967-8cc3-ba691d383fffn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16003&group=comp.lang.forth#16003

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:cab:: with SMTP id s11mr37358538qvs.131.1641116098578;
Sun, 02 Jan 2022 01:34:58 -0800 (PST)
X-Received: by 2002:a37:69c4:: with SMTP id e187mr29422405qkc.159.1641116098418;
Sun, 02 Jan 2022 01:34:58 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 2 Jan 2022 01:34:58 -0800 (PST)
In-Reply-To: <7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=162.12.231.45; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 162.12.231.45
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com> <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
<7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <d14459f6-fd89-4967-8cc3-ba691d383fffn@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: gnuarm.d...@gmail.com (Rick C)
Injection-Date: Sun, 02 Jan 2022 09:34:58 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 54
 by: Rick C - Sun, 2 Jan 2022 09:34 UTC

On Sunday, January 2, 2022 at 2:59:51 AM UTC-5, jpit...@gmail.com wrote:
> On Sunday, 2 January 2022 at 01:54:02 UTC, gnuarm.del...@gmail.com wrote:
> > On Saturday, January 1, 2022 at 2:37:21 AM UTC-5, jpit...@gmail.com wrote:
> > > It seems Professor Emeritus Douglas Jones
> > > who wrote the article in the first place,
> > > did like the implementation Steve did,
> > > and added a comment in his article based on my email to him.
> > >
> > > https://homepage.cs.uiowa.edu/~jones/arch/risc/
> > >
> > > In late December 2021,
> > > Juergen Pintaske pointed me at Steve Teal's VHDL implementation
> > > of Juergen's MISC16 variant on the Ulitmate RISC.
> > > This has a slightly closer coupling between the move engine and the ALU,
> > > enough that you can just call the two of them a CPU,
> > > and it has been manufactured as an ASIC.
> > > An eForth interpreter has been written for it,
> > > so it can actually be used with an (arguably) high-level language.
> > > The whole project, including VHDL code,
> > > the eForth interpreter in assembly,
> > > a cross assembler writtein in Python
> > > and a C emulator for MISC16
> > > is available on Github.
>
> > Sounds great.
> Now to get significant numbers of people to adopt it
> just requires appropriate documentation.
> That was a big failure of the GA144.
> Tools were written, but with little documentation.
> >
> > --
> >
> > Rick C.
> >
> > - Get 1,000 miles of free Supercharging
> > - Tesla referral code - https://ts.la/richard11209
> The project for 2022.

Ok. Great. What is the purpose of this MISC CPU? I don't recall just how small it is. Is there a LUT4 count? We can provide it to Jim Brakefield to add to his data on soft CPUs. He doesn't require source code, but I think he is willing to evaluate designs if they are provided. I'm not sure if he does any testing other than compiling to see how many LUTs it uses and likely looks at the code to see the clocks/instruction, etc., but I'm not sure. I didn't look. Does this design have a test bench?

--

Rick C.

+ Get 1,000 miles of free Supercharging
+ Tesla referral code - https://ts.la/richard11209

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<cd274fe4-3bd1-4905-99f1-ce4b14e5c63bn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16026&group=comp.lang.forth#16026

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:622a:14:: with SMTP id x20mr36579805qtw.671.1641148155488;
Sun, 02 Jan 2022 10:29:15 -0800 (PST)
X-Received: by 2002:a0c:f24a:: with SMTP id z10mr38748453qvl.29.1641148155320;
Sun, 02 Jan 2022 10:29:15 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 2 Jan 2022 10:29:15 -0800 (PST)
In-Reply-To: <d14459f6-fd89-4967-8cc3-ba691d383fffn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.253.102; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.253.102
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com> <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
<7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com> <d14459f6-fd89-4967-8cc3-ba691d383fffn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <cd274fe4-3bd1-4905-99f1-ce4b14e5c63bn@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jim.brak...@ieee.org (James Brakefield)
Injection-Date: Sun, 02 Jan 2022 18:29:15 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 74
 by: James Brakefield - Sun, 2 Jan 2022 18:29 UTC

On Sunday, January 2, 2022 at 3:34:59 AM UTC-6, gnuarm.del...@gmail.com wrote:
> On Sunday, January 2, 2022 at 2:59:51 AM UTC-5, jpit...@gmail.com wrote:
> > On Sunday, 2 January 2022 at 01:54:02 UTC, gnuarm.del...@gmail.com wrote:
> > > On Saturday, January 1, 2022 at 2:37:21 AM UTC-5, jpit...@gmail.com wrote:
> > > > It seems Professor Emeritus Douglas Jones
> > > > who wrote the article in the first place,
> > > > did like the implementation Steve did,
> > > > and added a comment in his article based on my email to him.
> > > >
> > > > https://homepage.cs.uiowa.edu/~jones/arch/risc/
> > > >
> > > > In late December 2021,
> > > > Juergen Pintaske pointed me at Steve Teal's VHDL implementation
> > > > of Juergen's MISC16 variant on the Ulitmate RISC.
> > > > This has a slightly closer coupling between the move engine and the ALU,
> > > > enough that you can just call the two of them a CPU,
> > > > and it has been manufactured as an ASIC.
> > > > An eForth interpreter has been written for it,
> > > > so it can actually be used with an (arguably) high-level language.
> > > > The whole project, including VHDL code,
> > > > the eForth interpreter in assembly,
> > > > a cross assembler writtein in Python
> > > > and a C emulator for MISC16
> > > > is available on Github.
> >
> > > Sounds great.
> > Now to get significant numbers of people to adopt it
> > just requires appropriate documentation.
> > That was a big failure of the GA144.
> > Tools were written, but with little documentation.
> > >
> > > --
> > >
> > > Rick C.
> > >
> > > - Get 1,000 miles of free Supercharging
> > > - Tesla referral code - https://ts.la/richard11209
> > The project for 2022.
> Ok. Great. What is the purpose of this MISC CPU? I don't recall just how small it is. Is there a LUT4 count? We can provide it to Jim Brakefield to add to his data on soft CPUs. He doesn't require source code, but I think he is willing to evaluate designs if they are provided. I'm not sure if he does any testing other than compiling to see how many LUTs it uses and likely looks at the code to see the clocks/instruction, etc., but I'm not sure. I didn't look. Does this design have a test bench?
>
> --
>
> Rick C.
>
> + Get 1,000 miles of free Supercharging
> + Tesla referral code - https://ts.la/richard11209
Move processors are rare. This one has only 10 move operations
(conditional branches counted as one instruction).

Ugh, the design is a bare core: no block RAM for memory.

I used Vivado and the fastest part with free tools: xczu3cg-sfvc784-2-e
And added a clock constraint file.
Will place and route with a clock speed greater than 500MHz.
Uses ~197 LUT6 and ~78 DFF.
Normal MIPS/instruction for 16-biitter is 0.67.
In this case reduced MIPS/inst to 0.22 yielding a KIPS/LUT of 558.
(a move processor requires many loads and stores than normal cpu)

Steve Teal in his 1802-pico-basic design included the block RAM,
so, would encourage him to do likewise here.
Would result in a more meaningful Fmax.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<96bd4d77-2ce1-4caf-88e0-3fc95fc46460n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16029&group=comp.lang.forth#16029

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:622a:1654:: with SMTP id y20mr36956786qtj.374.1641149767430;
Sun, 02 Jan 2022 10:56:07 -0800 (PST)
X-Received: by 2002:a37:d207:: with SMTP id f7mr30501199qkj.272.1641149767191;
Sun, 02 Jan 2022 10:56:07 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 2 Jan 2022 10:56:07 -0800 (PST)
In-Reply-To: <cd274fe4-3bd1-4905-99f1-ce4b14e5c63bn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com> <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
<7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com> <d14459f6-fd89-4967-8cc3-ba691d383fffn@googlegroups.com>
<cd274fe4-3bd1-4905-99f1-ce4b14e5c63bn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <96bd4d77-2ce1-4caf-88e0-3fc95fc46460n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Sun, 02 Jan 2022 18:56:07 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 94
 by: Jurgen Pitaske - Sun, 2 Jan 2022 18:56 UTC

On Sunday, 2 January 2022 at 18:29:16 UTC, James Brakefield wrote:
> On Sunday, January 2, 2022 at 3:34:59 AM UTC-6, gnuarm.del...@gmail.com wrote:
> > On Sunday, January 2, 2022 at 2:59:51 AM UTC-5, jpit...@gmail.com wrote:
> > > On Sunday, 2 January 2022 at 01:54:02 UTC, gnuarm.del...@gmail.com wrote:
> > > > On Saturday, January 1, 2022 at 2:37:21 AM UTC-5, jpit...@gmail.com wrote:
> > > > > It seems Professor Emeritus Douglas Jones
> > > > > who wrote the article in the first place,
> > > > > did like the implementation Steve did,
> > > > > and added a comment in his article based on my email to him.
> > > > >
> > > > > https://homepage.cs.uiowa.edu/~jones/arch/risc/
> > > > >
> > > > > In late December 2021,
> > > > > Juergen Pintaske pointed me at Steve Teal's VHDL implementation
> > > > > of Juergen's MISC16 variant on the Ulitmate RISC.
> > > > > This has a slightly closer coupling between the move engine and the ALU,
> > > > > enough that you can just call the two of them a CPU,
> > > > > and it has been manufactured as an ASIC.
> > > > > An eForth interpreter has been written for it,
> > > > > so it can actually be used with an (arguably) high-level language..
> > > > > The whole project, including VHDL code,
> > > > > the eForth interpreter in assembly,
> > > > > a cross assembler writtein in Python
> > > > > and a C emulator for MISC16
> > > > > is available on Github.
> > >
> > > > Sounds great.
> > > Now to get significant numbers of people to adopt it
> > > just requires appropriate documentation.
> > > That was a big failure of the GA144.
> > > Tools were written, but with little documentation.
> > > >
> > > > --
> > > >
> > > > Rick C.
> > > >
> > > > - Get 1,000 miles of free Supercharging
> > > > - Tesla referral code - https://ts.la/richard11209
> > > The project for 2022.
> > Ok. Great. What is the purpose of this MISC CPU? I don't recall just how small it is. Is there a LUT4 count? We can provide it to Jim Brakefield to add to his data on soft CPUs. He doesn't require source code, but I think he is willing to evaluate designs if they are provided. I'm not sure if he does any testing other than compiling to see how many LUTs it uses and likely looks at the code to see the clocks/instruction, etc., but I'm not sure.. I didn't look. Does this design have a test bench?
> >
> > --
> >
> > Rick C.
> >
> > + Get 1,000 miles of free Supercharging
> > + Tesla referral code - https://ts.la/richard11209

> Move processors are rare. This one has only 10 move operations
> (conditional branches counted as one instruction).
>
> Ugh, the design is a bare core: no block RAM for memory.
>
> I used Vivado and the fastest part with free tools: xczu3cg-sfvc784-2-e
> And added a clock constraint file.
> Will place and route with a clock speed greater than 500MHz.
> Uses ~197 LUT6 and ~78 DFF.
> Normal MIPS/instruction for 16-biitter is 0.67.
> In this case reduced MIPS/inst to 0.22 yielding a KIPS/LUT of 558.
> (a move processor requires many loads and stores than normal cpu)
>
> Steve Teal in his 1802-pico-basic design included the block RAM,
> so, would encourage him to do likewise here.
> Would result in a more meaningful Fmax.

Thank you very much for your testing and feedback, I will forward to Steve.
I started this MISC16 as a fun project again to see what can be achieved now.
Steve kindly helped to bring it back to life again and now in FPGA.
As said before, where it ends and how useful it is we will see.
Probably depends on the application.
As said as well, it is a fun project - so actual performance is secondary.
And I only posted it here,
as Steve went through the work
to adapt an eForth with all of the coding details on github for people who are interested.
As Steve has proven, this core is fast enough to run a Forth.
Or the other way around: this eForth could be adapted to run on a custom microprocessor
with very minimal ressources, as you stated:
> Uses ~197 LUT6 and ~78 DFF.

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<680f7425-08e3-4ad5-b4ae-f1f3e491caa7n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16032&group=comp.lang.forth#16032

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:2307:: with SMTP id gc7mr19171774qvb.7.1641155214306;
Sun, 02 Jan 2022 12:26:54 -0800 (PST)
X-Received: by 2002:a05:6214:d61:: with SMTP id 1mr39561127qvs.125.1641155214168;
Sun, 02 Jan 2022 12:26:54 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 2 Jan 2022 12:26:53 -0800 (PST)
In-Reply-To: <cd274fe4-3bd1-4905-99f1-ce4b14e5c63bn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=79.172.101.229; posting-account=1qVL5woAAABqIkvU7Nz8Hn6p94_dJQrA
NNTP-Posting-Host: 79.172.101.229
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com> <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
<7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com> <d14459f6-fd89-4967-8cc3-ba691d383fffn@googlegroups.com>
<cd274fe4-3bd1-4905-99f1-ce4b14e5c63bn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <680f7425-08e3-4ad5-b4ae-f1f3e491caa7n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: ilya74.t...@gmail.com (Ilya Tarasov)
Injection-Date: Sun, 02 Jan 2022 20:26:54 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 21
 by: Ilya Tarasov - Sun, 2 Jan 2022 20:26 UTC

> Ugh, the design is a bare core: no block RAM for memory.
>
> I used Vivado and the fastest part with free tools: xczu3cg-sfvc784-2-e
> And added a clock constraint file.
> Will place and route with a clock speed greater than 500MHz.
> Uses ~197 LUT6 and ~78 DFF.
> Normal MIPS/instruction for 16-biitter is 0.67.
> In this case reduced MIPS/inst to 0.22 yielding a KIPS/LUT of 558.
> (a move processor requires many loads and stores than normal cpu)
>
> Steve Teal in his 1802-pico-basic design included the block RAM,
> so, would encourage him to do likewise here.
> Would result in a more meaningful Fmax.

It seems almost everything has gone due to synthesizer optimization.
Nothing unusual for newbies. 78 DFFs means almost all register were collapsed
because they are unused at all with current schematic. Block RAM is required, and
second port is required too. 500 MHz is non-realistic.

Zynq UltraScale+ is a large FPGA with 100k+ cells. What do you expect to achieve with
0.5% of resources used? :)

Re: eForth reborn on a late Christmas Present - a new new born Microprocessor in FPGA

<8dbeb467-ac21-4804-9afa-0430927c2ae1n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16034&group=comp.lang.forth#16034

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:27cb:: with SMTP id ge11mr22714837qvb.65.1641163797725;
Sun, 02 Jan 2022 14:49:57 -0800 (PST)
X-Received: by 2002:a05:6214:c8e:: with SMTP id r14mr39600410qvr.38.1641163797523;
Sun, 02 Jan 2022 14:49:57 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 2 Jan 2022 14:49:57 -0800 (PST)
In-Reply-To: <680f7425-08e3-4ad5-b4ae-f1f3e491caa7n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.253.102; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.253.102
References: <d3d672f7-e230-4d87-ac11-424d68e92092n@googlegroups.com>
<7556dd79-5553-4de7-8593-edfa17bcd648n@googlegroups.com> <aa3438b8-fce0-40ec-bd27-33445849254bn@googlegroups.com>
<sqektm$ouh$1@dont-email.me> <7fa21c59-9ec3-4988-b3c6-d00dc47fc6een@googlegroups.com>
<sqfcb8$llt$1@dont-email.me> <13f1de7e-284e-454d-9360-7ffe3ece73f9n@googlegroups.com>
<sqfp9f$h94$1@dont-email.me> <04ebe146-e747-4ee3-834a-eb011b1b2e0en@googlegroups.com>
<99f8418f-386a-42d9-9a06-71c547e89424n@googlegroups.com> <d65480d7-3041-4579-befa-a7e96b6538f9n@googlegroups.com>
<7e8b98ba-035b-47ed-84af-e868c2bcec45n@googlegroups.com> <d14459f6-fd89-4967-8cc3-ba691d383fffn@googlegroups.com>
<cd274fe4-3bd1-4905-99f1-ce4b14e5c63bn@googlegroups.com> <680f7425-08e3-4ad5-b4ae-f1f3e491caa7n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <8dbeb467-ac21-4804-9afa-0430927c2ae1n@googlegroups.com>
Subject: Re: eForth reborn on a late Christmas Present - a new new born
Microprocessor in FPGA
From: jim.brak...@ieee.org (James Brakefield)
Injection-Date: Sun, 02 Jan 2022 22:49:57 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 54
 by: James Brakefield - Sun, 2 Jan 2022 22:49 UTC

On Sunday, January 2, 2022 at 2:26:54 PM UTC-6, Ilya Tarasov wrote:
> > Ugh, the design is a bare core: no block RAM for memory.
> >
> > I used Vivado and the fastest part with free tools: xczu3cg-sfvc784-2-e
> > And added a clock constraint file.
> > Will place and route with a clock speed greater than 500MHz.
> > Uses ~197 LUT6 and ~78 DFF.
> > Normal MIPS/instruction for 16-biitter is 0.67.
> > In this case reduced MIPS/inst to 0.22 yielding a KIPS/LUT of 558.
> > (a move processor requires many loads and stores than normal cpu)
> >
> > Steve Teal in his 1802-pico-basic design included the block RAM,
> > so, would encourage him to do likewise here.
> > Would result in a more meaningful Fmax.
> It seems almost everything has gone due to synthesizer optimization.
> Nothing unusual for newbies. 78 DFFs means almost all register were collapsed
> because they are unused at all with current schematic. Block RAM is required, and
> second port is required too. 500 MHz is non-realistic.
>
> Zynq UltraScale+ is a large FPGA with 100k+ cells. What do you expect to achieve with
> 0.5% of resources used? :)

Ran Steve Teal's other design: pumpkin.
It has program and instruction memory.
For small programs Vivado will use LUT RAM instead of block RAM.
For hello_world_top as top, result was 166 LUTs and 67 DFF.
With myco as top, Vivado still preferred LUT RAM
So forced use of block RAM giving 230 LUTs, 131 DFF and half of a block RAM.
hello_world came in at 625 MHz and myco came in at 450 MHz.

mrisc16 and pumpkin both have small instruction sets which typically results in great Fmax.
For larger designs and larger ISAs and additional memory address modes
these numbers will shrink considerably, to say nothing of adding IO or SOC IP.

I run Zynq UltraScale+ because high Fmax is more fun.
(and am trying to rerun all the designs to get a more uniform comparison)
Artix-7 and Spartan-7 are much more affordable. And will use about the same
numbers of DFF and LUTs for a given design.
Expect Fmax to be 33% lower, after all Artix is 28nm and UltraScale is 16nm.

Arria-2 is the fastest Intel family with free tools.
Intel Cyclone V also has free tools and also has the equivalent of 6LUTs.
LUT4 families typically use 50% more LUTs than LUT6 devices.

Using modern FPGA family parts 200MHz operation should be possible.
Coding style and design complexity have a big influence on Fmax.
Also, DFF numbers should be taken with a grain of salt.
The tools will use additional DFF to improve timing by relaying signals closer to their loads.
In other cases a family will not support LUT RAM due to set/reset operation and
will convert small RAMs to a mass of DFF and multiplexers.

I started this project to find the best or most efficient processors.
At 1K LUTs to the dollar and with many designs using less than 1K LUTs,
and with operation at, say, 200MHz there is considerable opportunity
for small memory custom processors.

Pages:1234
server_pubkey.txt

rocksolid light 0.9.8
clearnet tor