Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

You scratch my tape, and I'll scratch yours.


tech / sci.electronics.design / Re: Gain

SubjectAuthor
* GainCursitor Doom
+* Re: GainPhil Hobbs
|+- Re: GainPhil Hobbs
|+* Re: GainKevin Aylward
||+- Re: GainPhil Hobbs
||+- Re: GainCursitor Doom
||+* Re: GainJohn Larkin
|||+- Re: GainCursitor Doom
|||+* Re: GainPhil Hobbs
||||`* Re: GainCursitor Doom
|||| `* Re: GainPhil Hobbs
||||  `* Re: GainKevin Aylward
||||   +* Re: GainJoe Gwinn
||||   |`* Re: GainPhil Allison
||||   | `* Re: GainJoe Gwinn
||||   |  `- Re: GainPhil Allison
||||   `* Re: GainPhil Hobbs
||||    +- Re: GainPhil Hobbs
||||    `* Re: GainLM
||||     `* Re: GainPhil Hobbs
||||      `* Re: GainJohn S
||||       `- Re: GainLM
|||`- Re: GainClifford Heath
||`- Re: Gainwhit3rd
|`* Re: GainCursitor Doom
| `* Re: GainPhil Hobbs
|  `* Re: GainRich S
|   `- Re: GainPhil Hobbs
+- Re: GainTabby
`* Re: GainRich S
 +* Re: GainPhil Allison
 |+* Re: Gainbitrex
 ||`* Re: GainRich S
 || +* Re: Gainbitrex
 || |`- Re: GainGerhard Hoffmann
 || `- Re: Gainbitrex
 |`- Re: GainNomen Nescio
 `* Re: GainCursitor Doom
  `* Re: GainRich S
   `* Re: GainCursitor Doom
    `* Re: GainPhil Hobbs
     +- Re: GainRich S
     `* Re: GainCursitor Doom
      `* Re: GainPhil Hobbs
       `* Re: GainCursitor Doom
        `- Re: GainPhil Hobbs

Pages:12
Re: Gain

<a0580cfe-0d97-4fd2-9ff6-b81388d210f2n@googlegroups.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86330&group=sci.electronics.design#86330

  copy link   Newsgroups: sci.electronics.design
X-Received: by 2002:a05:620a:1477:: with SMTP id j23mr26832551qkl.152.1640995013079;
Fri, 31 Dec 2021 15:56:53 -0800 (PST)
X-Received: by 2002:a25:ae13:: with SMTP id a19mr30017416ybj.327.1640995012761;
Fri, 31 Dec 2021 15:56:52 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: sci.electronics.design
Date: Fri, 31 Dec 2021 15:56:52 -0800 (PST)
In-Reply-To: <67a59715-aefb-53e4-0d2f-f2680a3a097b@electrooptical.net>
Injection-Info: google-groups.googlegroups.com; posting-host=108.41.98.50; posting-account=rEo47AoAAAAz23oFFYoL4aHQauGkT8Lw
NNTP-Posting-Host: 108.41.98.50
References: <sql45f$7oa$1@dont-email.me> <c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com>
<f20usg56vavdbaos10d6056em3fr3ptmn7@4ax.com> <6e3c0983-a2b6-42ca-8aa4-53300c1012b3n@googlegroups.com>
<7utusgh922159fj6lh4a12mpaeojg4l65p@4ax.com> <67a59715-aefb-53e4-0d2f-f2680a3a097b@electrooptical.net>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a0580cfe-0d97-4fd2-9ff6-b81388d210f2n@googlegroups.com>
Subject: Re: Gain
From: richsuli...@gmail.com (Rich S)
Injection-Date: Fri, 31 Dec 2021 23:56:53 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 45
 by: Rich S - Fri, 31 Dec 2021 23:56 UTC

> >>>> If it were me, I'd grab a National Semiconducor
> >>>> Linear Applications handbook, look at AN-222.
> >>>> While its main topic is using the LM394, the
> >>>> FIGURE 4 is fairly relevant to your project.
> >>>> For the low-noise NPN, the AoE has table
> >>>> with many to choose from.
> >>>> cheers, RS
> >>> I will; many thanks.
> >>
> >>
> >>
> >> More details (for those who need it)
> >> AN222 may be tricky for some people
> >> to find.
> >> 1994_National_Linear_Applications_Handbook.pdf
> >> page 435 (p. 460th in pdf)
> >> https://archive.org/details/bitsavers_nationaldaLinearApplicationsHandbook_106847051/page/n459/mode/1up
> >>
> >> my point is, it's a "2" transistor low-noise
> >> pre-amplifier. The LM394 could just as
> >> well be any other low-noise NPN (or
> >> multiple matched units in parallel).
> >>
> >> in lieu of LM394 , many choices are given
> >> in Horowitz&Hill Art of Electronics, in table
> >> of "low noise BJT transistors"

> Why in the world do you need matched BJTs for this? That LM394 app note
> was just using it as a single low-noise BJT amplifier, which is
> something only an apps guy would even contemplate. Besides being
> expensive, there's no advantage whatsoever over a single low-Rbb' device.
>
> A nice 2SD2704k or MPSA18, cascoded with a 2N3904 or something, will do
> much better, and cost pennies.

agreed, we don't need dual-matched NPNs
*If* one of those suitable singles will do.
For consumer gear, one is prob. OK.
("if" = literature like AoE3 do show the benefit
of lowering noise by paralleling matched BJTs -
when one does need super-low noise voltage)
And the other point was that despite the fact
that the LM394 was obsolete, other duals/quads
are available. that is all.
happy new year! - RS

Re: Gain

<l6bvsgds5vva010lucr3arpsr34pnm8tqa@4ax.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86335&group=sci.electronics.design#86335

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: cd...@nospam.com (Cursitor Doom)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Sat, 01 Jan 2022 01:25:28 +0000
Organization: A noiseless patient Spider
Lines: 86
Message-ID: <l6bvsgds5vva010lucr3arpsr34pnm8tqa@4ax.com>
References: <sql45f$7oa$1@dont-email.me> <c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com> <f20usg56vavdbaos10d6056em3fr3ptmn7@4ax.com> <6e3c0983-a2b6-42ca-8aa4-53300c1012b3n@googlegroups.com> <7utusgh922159fj6lh4a12mpaeojg4l65p@4ax.com> <67a59715-aefb-53e4-0d2f-f2680a3a097b@electrooptical.net>
Mime-Version: 1.0
Content-Type: text/plain; charset=us-ascii
Content-Transfer-Encoding: 7bit
Injection-Info: reader02.eternal-september.org; posting-host="dc93a66247f3d10efb94875a84b436e8";
logging-data="21774"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX194shK6VRv3XlfZRTiPPj8ouuqeIyJRgwE="
User-Agent: ForteAgent/8.00.32.1272 trialware
Cancel-Lock: sha1:5DDvvgjhu1NtjBxtGbR7WEAUi2Y=
 by: Cursitor Doom - Sat, 1 Jan 2022 01:25 UTC

On Fri, 31 Dec 2021 18:23:30 -0500, Phil Hobbs
<pcdhSpamMeSenseless@electrooptical.net> wrote:

>Cursitor Doom wrote:
>> On Fri, 31 Dec 2021 10:01:45 -0800 (PST), Rich S
>> <richsulinengineer@gmail.com> wrote:
>>
>>> On Friday, December 31, 2021 at 1:07:02 PM UTC, Cursitor Doom wrote:
>>>> On Thu, 30 Dec 2021 18:19:27 -0800 (PST), Rich S
>>>> <richsuli...@gmail.com> wrote:
>>>>
>>>>> On Thursday, December 30, 2021 at 8:17:58 PM UTC, Cursitor Doom wrote:
>>>>>> Gentlemen,
>>>>>>
>>>>>> When talking about common-emitter configuration BJT stages, is it
>>>>>> feasible to get a voltage gain of 400 in one stage or would it be better
>>>>>> to cascade two stages of 20? I'd really prefer to use just one stage if
>>>>>> it can be done with stability. The input signal will be up to 20mV p-p
>>>>>> and supply voltage 12V.
>>>>>> cheers,
>>>>>>
>>>>>> CD.
>>>>>
>>>>> Hi CD,
>>>>> If it were me, I'd grab a National Semiconducor
>>>>> Linear Applications handbook, look at AN-222.
>>>>> While its main topic is using the LM394, the
>>>>> FIGURE 4 is fairly relevant to your project.
>>>>> For the low-noise NPN, the AoE has table
>>>>> with many to choose from.
>>>>> cheers, RS
>>>> I will; many thanks.
>>>
>>>
>>>
>>> More details (for those who need it)
>>> AN222 may be tricky for some people
>>> to find.
>>> 1994_National_Linear_Applications_Handbook.pdf
>>> page 435 (p. 460th in pdf)
>>> https://archive.org/details/bitsavers_nationaldaLinearApplicationsHandbook_106847051/page/n459/mode/1up
>>>
>>> my point is, it's a "2" transistor low-noise
>>> pre-amplifier. The LM394 could just as
>>> well be any other low-noise NPN (or
>>> multiple matched units in parallel).
>>>
>>> in lieu of LM394 , many choices are given
>>> in Horowitz&Hill Art of Electronics, in table
>>> of "low noise BJT transistors"
>>>
>>> cheers, RS
>>
>> I have several thousands of all sorts of transistors 'in stock' here
>> and have been rummaging through them all today trying to find a
>> matched pair of complimentary BJTs for the eventual power stage. Guess
>> how many pairs I found? None! A few dozen matched pairs, but *all*
>> totally unsuitable for one reason or another. I was totally certain
>> I'd have several to choose from at the end of my hunt but it was not
>> to be.
>> What I *did* come across during the rummage, however, was a decent
>> selection of NOS TDA series chips. I'm getting evil thoughts about
>> cheating now. Sigh...
>> :-/
>>
>
>Why in the world do you need matched BJTs for this? That LM394 app note
>was just using it as a single low-noise BJT amplifier, which is
>something only an apps guy would even contemplate. Besides being
>expensive, there's no advantage whatsoever over a single low-Rbb' device.
>
>A nice 2SD2704k or MPSA18, cascoded with a 2N3904 or something, will do
>much better, and cost pennies.
>
>The PNP wraparound trick helps reduce the Miller capacitance, for sure.
>It's a bit like a cascode, but generally slower since the collector
>swing is suppressed by feedback rather than the diode action. (I'm a
>big fan of local feedback in general.)
>
>It's also much more likely to oscillate if you do it wrong.

I really appreciate that, Phil! You saying "if" rather than *when*
LOL!
Seriously, you're so far above me I wouldn't even be able to see you
with that fancy new telescope they've just put into space. :-D
More and more I'm tempted to go down the IC route...

Re: Gain

<e6d5d3f7-b6b9-40ed-a86c-1522898e8c4cn@googlegroups.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86354&group=sci.electronics.design#86354

  copy link   Newsgroups: sci.electronics.design
X-Received: by 2002:a05:622a:14:: with SMTP id x20mr31784738qtw.671.1641015867389;
Fri, 31 Dec 2021 21:44:27 -0800 (PST)
X-Received: by 2002:a25:ae13:: with SMTP id a19mr30795730ybj.327.1641015867179;
Fri, 31 Dec 2021 21:44:27 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: sci.electronics.design
Date: Fri, 31 Dec 2021 21:44:26 -0800 (PST)
In-Reply-To: <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com>
Injection-Info: google-groups.googlegroups.com; posting-host=209.221.140.126; posting-account=vKQm_QoAAADOaDCYsqOFDAW8NJ8sFHoE
NNTP-Posting-Host: 209.221.140.126
References: <sql45f$7oa$1@dont-email.me> <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net>
<Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e6d5d3f7-b6b9-40ed-a86c-1522898e8c4cn@googlegroups.com>
Subject: Re: Gain
From: whit...@gmail.com (whit3rd)
Injection-Date: Sat, 01 Jan 2022 05:44:27 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 21
 by: whit3rd - Sat, 1 Jan 2022 05:44 UTC

On Thursday, December 30, 2021 at 1:34:44 PM UTC-8, Kevin Aylward wrote:
> "Phil Hobbs" wrote in message
> news:905efb96-61d6-7cdc...@electrooptical.net...
> Cursitor Doom wrote:
> > Gentlemen,
> >
> >> When talking about common-emitter configuration BJT stages, is it
> >> feasible to get a voltage gain of 400 in one stage or...

> Realistically, one really needs two stages with this sort of spec.

In earlier days, realism was the reason for regenerative and superregenerative
circuitry. All the gain you need without the expensive second transistor.

> >How about a nice LM358A?

.... and without the third through thirtieth transistor

> Why anyone would use a discrete transistor stage today is indeed a
> mystery...

Yeah, the 'expensive' word was, but is no longer, applicable.

Re: Gain

<heSzJ.214786$3q9.141731@fx47.iad>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86356&group=sci.electronics.design#86356

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!border2.nntp.ams1.giganews.com!nntp.giganews.com!npeer.as286.net!npeer-ng0.as286.net!peer03.ams1!peer.ams1.xlned.com!news.xlned.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx47.iad.POSTED!not-for-mail
Subject: Re: Gain
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me>
<c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com>
<ce795515-1f5f-4677-9b22-b911d85229ean@googlegroups.com>
<1tHzJ.9378$PNM6.4385@fx09.iad>
<9854ab4a-5072-4afb-a375-80be2c58d0abn@googlegroups.com>
From: use...@example.net (bitrex)
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:78.0) Gecko/20100101
Thunderbird/78.14.0
MIME-Version: 1.0
In-Reply-To: <9854ab4a-5072-4afb-a375-80be2c58d0abn@googlegroups.com>
Content-Type: text/plain; charset=utf-8; format=flowed
Content-Language: en-US
Content-Transfer-Encoding: 8bit
Lines: 49
Message-ID: <heSzJ.214786$3q9.141731@fx47.iad>
X-Complaints-To: abuse@frugalusenet.com
NNTP-Posting-Date: Sat, 01 Jan 2022 06:09:17 UTC
Organization: frugalusenet - www.frugalusenet.com
Date: Sat, 1 Jan 2022 01:09:17 -0500
X-Received-Bytes: 2591
X-Original-Bytes: 2452
 by: bitrex - Sat, 1 Jan 2022 06:09 UTC

On 12/31/21 3:44 PM, Rich S wrote:
> On Friday, December 31, 2021 at 5:54:11 PM UTC, bitrex wrote:
>> On 12/30/21 10:12 PM, Phil Allison wrote:
>>> Rich Schmuck wrote:
>>> ================
>>>>> CD.
>>>>
>>>> Hi CD, > If it were me, I'd grab a National Semiconducor
>>>> Linear Applications handbook, look at AN-222.
>>>> While its main topic is using the LM394, the
>>>> FIGURE 4 is fairly relevant to your project.
>>>
>>> ** The LM394 is long obsolete.
>>>
>>> NOS examples go for $40 on Ebay.
>>>
>>> CD is a massive troll and a moron - so are you.
>>>
>>>
>>>
>>> .... Phil
>>>
>> Would you be interested in a Latvian equivalent:
>>
>> <https://www.ericasynths.lv/shop/ics/as394-matched-transistors/>
>>
>> Looks like "ALFA RPAR" specializes in repros of some ICs that were in
>> vintage synthesizers and effects
>
> Cool! So Latvia rocks.
> Only € 2.70 - not bad at all.

There was a decent-sized semiconductor manufacturing industry in Riga
during the Soviet era, they built a lot of electronics for the Soviet
military there.

Looks like they've reoriented to supplying rad-hard/ruggedized
components for aerospace applications and "legacy products" generally:

<https://www.rdalfa.eu/>

> Aside from LM394..
> Other new-stock matched BJT duals or arrays live on...
>
> http://thatcorp.com/300-series_Matched_Transistor_Array_ICs.shtml
> https://www.analog.com/en/parametricsearch/10988#/
> https://www.onsemi.com/products/discrete-power-modules/general-purpose-and-low-vcesat-transistors/nst45011mw6t1g
>

Re: Gain

<0ySzJ.254780$IW4.71380@fx48.iad>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86357&group=sci.electronics.design#86357

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!aioe.org!feeder1.feed.usenet.farm!feed.usenet.farm!news-out.netnews.com!news.alt.net!fdc2.netnews.com!peer02.ams1!peer.ams1.xlned.com!news.xlned.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx48.iad.POSTED!not-for-mail
Subject: Re: Gain
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me>
<c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com>
<ce795515-1f5f-4677-9b22-b911d85229ean@googlegroups.com>
<1tHzJ.9378$PNM6.4385@fx09.iad>
<9854ab4a-5072-4afb-a375-80be2c58d0abn@googlegroups.com>
From: use...@example.net (bitrex)
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:78.0) Gecko/20100101
Thunderbird/78.14.0
MIME-Version: 1.0
In-Reply-To: <9854ab4a-5072-4afb-a375-80be2c58d0abn@googlegroups.com>
Content-Type: text/plain; charset=utf-8; format=flowed
Content-Language: en-US
Content-Transfer-Encoding: 8bit
Lines: 49
Message-ID: <0ySzJ.254780$IW4.71380@fx48.iad>
X-Complaints-To: abuse@frugalusenet.com
NNTP-Posting-Date: Sat, 01 Jan 2022 06:30:20 UTC
Organization: frugalusenet - www.frugalusenet.com
Date: Sat, 1 Jan 2022 01:30:19 -0500
X-Received-Bytes: 2698
 by: bitrex - Sat, 1 Jan 2022 06:30 UTC

On 12/31/21 3:44 PM, Rich S wrote:
> On Friday, December 31, 2021 at 5:54:11 PM UTC, bitrex wrote:
>> On 12/30/21 10:12 PM, Phil Allison wrote:
>>> Rich Schmuck wrote:
>>> ================
>>>>> CD.
>>>>
>>>> Hi CD, > If it were me, I'd grab a National Semiconducor
>>>> Linear Applications handbook, look at AN-222.
>>>> While its main topic is using the LM394, the
>>>> FIGURE 4 is fairly relevant to your project.
>>>
>>> ** The LM394 is long obsolete.
>>>
>>> NOS examples go for $40 on Ebay.
>>>
>>> CD is a massive troll and a moron - so are you.
>>>
>>>
>>>
>>> .... Phil
>>>
>> Would you be interested in a Latvian equivalent:
>>
>> <https://www.ericasynths.lv/shop/ics/as394-matched-transistors/>
>>
>> Looks like "ALFA RPAR" specializes in repros of some ICs that were in
>> vintage synthesizers and effects
>
> Cool! So Latvia rocks.
> Only € 2.70 - not bad at all.
>
>
> Aside from LM394..
> Other new-stock matched BJT duals or arrays live on...
>
> http://thatcorp.com/300-series_Matched_Transistor_Array_ICs.shtml
> https://www.analog.com/en/parametricsearch/10988#/
> https://www.onsemi.com/products/discrete-power-modules/general-purpose-and-low-vcesat-transistors/nst45011mw6t1g
>

If you can accept "relaxed" specs and devices that maybe aren't
particularly well-matched and promise to buy a few hundred Rochester
Elec. seems to have tens of thousands of CA3096 in SOIC available for
well under a buck in quantity; in these times of chip shortages "high
voltage" analog ICs have seem particularly difficult to get a hold of so
could be useful to someone.

<https://www.rocelec.com/search?q=ca3096>

Re: Gain

<sqpdvj$e37f$1@solani.org>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86364&group=sci.electronics.design#86364

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!reader5.news.weretis.net!news.solani.org!.POSTED!not-for-mail
From: dk4...@arcor.de (Gerhard Hoffmann)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Sat, 1 Jan 2022 12:29:55 +0100
Message-ID: <sqpdvj$e37f$1@solani.org>
References: <sql45f$7oa$1@dont-email.me>
<c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com>
<ce795515-1f5f-4677-9b22-b911d85229ean@googlegroups.com>
<1tHzJ.9378$PNM6.4385@fx09.iad>
<9854ab4a-5072-4afb-a375-80be2c58d0abn@googlegroups.com>
<heSzJ.214786$3q9.141731@fx47.iad>
Mime-Version: 1.0
Content-Type: text/plain; charset=utf-8; format=flowed
Content-Transfer-Encoding: 7bit
Injection-Date: Sat, 1 Jan 2022 11:29:55 -0000 (UTC)
Injection-Info: solani.org;
logging-data="462063"; mail-complaints-to="abuse@news.solani.org"
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:78.0) Gecko/20100101
Thunderbird/78.14.0
Cancel-Lock: sha1:WFvPQtehsl+vwttb7EY1Qj6y6hs=
In-Reply-To: <heSzJ.214786$3q9.141731@fx47.iad>
X-User-ID: eJwNycERACAIA7CVwLZwjiMq+4+gl2eE8NjJUFD9rdhHQjkBw6xS81/CxgANpKuzmT6BdR/8jA+k
Content-Language: en-US
 by: Gerhard Hoffmann - Sat, 1 Jan 2022 11:29 UTC

Am 01.01.22 um 07:09 schrieb bitrex:
> On 12/31/21 3:44 PM, Rich S wrote:
>> On Friday, December 31, 2021 at 5:54:11 PM UTC, bitrex wrote:

>>>>
>>>> ** The LM394 is long obsolete.
>>>>
>>>> NOS examples go for $40 on Ebay.

Renesas / ex Intersil HFA3046, HFA3096, HFA3127, HFA3128

They even contain 5.5 GHz PNPs, still available the last time
I needed them, even acceptable for space.

There is a data sheet from jan 2019, relatively fresh.

On Semi MBT6429DW1T1G NST45010MW6T1G UMZ1NT1G
AD MAT14 SSM2210 SSM2220
Fairchild MMPQ6700
Diodes/ex Zetex ZXTC2061E6

(from my .pdf collection)

Cheers, Gerhard

Re: Gain

<3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86386&group=sci.electronics.design#86386

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!buffer1.nntp.dca1.giganews.com!news.giganews.com.POSTED!not-for-mail
NNTP-Posting-Date: Sat, 01 Jan 2022 12:59:23 -0600
Reply-To: "Kevin Aylward" <kevinRemoveandReplaceATkevinaylward.co.uk>
From: kevinRem...@nowhere (Kevin Aylward)
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me> <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net> <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com> <d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net> <jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com> <cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net>
In-Reply-To: <cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net>
Subject: Re: Gain
Date: Sat, 1 Jan 2022 18:59:06 -0000
MIME-Version: 1.0
Content-Type: text/plain;
format=flowed;
charset="UTF-8";
reply-type=response
Content-Transfer-Encoding: 7bit
X-Priority: 3
X-MSMail-Priority: Normal
Importance: Normal
X-Newsreader: Microsoft Windows Live Mail 16.4.3528.331
X-MimeOLE: Produced By Microsoft MimeOLE V16.4.3528.331
Message-ID: <3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com>
Lines: 101
X-Usenet-Provider: http://www.giganews.com
X-Trace: sv3-SIt4dH1Msl7x4mJENtHyUiuI2/B6HwayP4vrRuR24lzGxGSbqiBXK03Eg4svz0BGWhHqc1MqiZuXniE!S6GXq6WPOM84wDBe84TLEyUgHPPLipBuvQ865ePZnD34G45ulI6gUiC9eRgymSaC4EeelqBB+sqa!zQ==
X-Complaints-To: abuse@giganews.com
X-DMCA-Notifications: http://www.giganews.com/info/dmca.html
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 5619
 by: Kevin Aylward - Sat, 1 Jan 2022 18:59 UTC

"Phil Hobbs" wrote in message
news:cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net...

Cursitor Doom wrote:
> On Thu, 30 Dec 2021 19:11:41 -0500, Phil Hobbs
> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>
>>> John Larkin wrote:
>>> On Thu, 30 Dec 2021 21:34:27 -0000, "Kevin Aylward"
>>>> <kevinRemoveandReplaceATkevinaylward.co.uk> wrote:
>>>>
>>>>> Why anyone would use a discrete transistor stage today is indeed a
>>>> mystery...
>>>>
>>>>
>>>>> -- Kevin Aylward
>>>>
>>>>> http://www.anasoft.co.uk/ SuperSpice
>>>>> http://www.kevinaylward.co.uk/ee/index.html
>>>
>>>> Some of the RF people are ashamed to admit they are selling
>>>> transistors. They label the pins RF IN and RF OUT and GROUND.
>>>
>>>> Sometimes a transistor is just what you need.
>>>
>>> Yup, particularly in front ends.
>
>> FETs are better in that role IMHO.
>

>For what in particular? ;)

>There are a very few JFETs that are competitive with or even superior to
>BJTs in wideband, low-level front ends.

Probably true for most applications, especially for higher frequencies where
drive impedances are low. The gm is going to be significantly larger at the
same current.

The classic application that jfets win is the guitar pickup front end. Base
current noise is a problem when dropped across a 2 H inductor at 5kHz. even
the dc resistance is a tad on the high side, maybe up to 10k. One also
really requires an input resistance > 1M Ohm. 100k isn't enough.

>(People have often used even noisy JFETs such as J309s in receiver front
>ends, but below VHF, the atmosphere is so noisy that you don't care very
>much, and the reduced IMD is a help.)

The 2SK162 for audio is actually very good. Gets down to a noise equivalent
of 26 ohms, matching bipolars

>I do a fair few mostly-discrete front ends for various things. ICs are
>great at what they do well, and can often be repurposed for things their
>designers never intended, but even in 2022 there are a lot, a lot of things
>you can do with discrete front ends that no IC can touch.

Sure, with off the shelf ics there are specialised gaps that they cant
fill.

If there is a large enough market, there is, nothing that can't be done by a
full ic implementation. It might require more than one ic though.

Most off the shelf ics such as op-amps are going to be "general purpose" not
ASIC (Application Specific)

If one takes an iPhone, its 100s of "custom" ICs.

>The one we've been discussing has an InGaAs pHEMT , two tiny GaN FETs, and
>three 60-GHz Si BJTs. Good luck getting all that on a chip. (And for
>Kevin's benefit: no, you couldn't integrate the equivalent function in
>plain silicon.)

Its certainly difficult to get all types of devices in one process. However,
even Analog Devices might supply what looks like one ic package, but
actually has several die from different processes.

One can integrate any discrete device. An integrated circuit is just more
than one different discrete devices on one die.

ASIC design is fundamentally different to discrete. Transistors are cheap,
as is calibration. I would have to examine what your product spec is to
evaluate how one would do it in an ic.

I haven't checked all the combinations available in current processes, but
there are certainly "standard" (mos & bipolar) component processes that have
GaN FETs, and "standard" processes with SiGe 200GHz devices, and cmos
processes with pHEMT. There are a LOT of processes out there.

If the market size is there, its always possible to integrate anything. For
small markets, there may be be a few applications where discrete is the way
to go.

There are fundamental advantages to ic implementation. Routing capacitances
are at the ff level for starters.

-- Kevin Aylward

http://www.anasoft.co.uk/ SuperSpice
http://www.kevinaylward.co.uk/ee/index.html

Re: Gain

<0uc1tg5n66ff8o2vo0g7k7oqe7hq0mqrsf@4ax.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86389&group=sci.electronics.design#86389

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!buffer1.nntp.dca1.giganews.com!news.giganews.com.POSTED!not-for-mail
NNTP-Posting-Date: Sat, 01 Jan 2022 14:33:02 -0600
From: joegw...@comcast.net (Joe Gwinn)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Sat, 01 Jan 2022 15:33:01 -0500
Message-ID: <0uc1tg5n66ff8o2vo0g7k7oqe7hq0mqrsf@4ax.com>
References: <sql45f$7oa$1@dont-email.me> <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net> <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com> <d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net> <jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com> <cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net> <3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com>
User-Agent: ForteAgent/8.00.32.1272
MIME-Version: 1.0
Content-Type: text/plain; charset=us-ascii
Content-Transfer-Encoding: 7bit
Lines: 78
X-Usenet-Provider: http://www.giganews.com
X-Trace: sv3-5CBWgmmDQHJf6F5ksuGwlbg5PbRkQeZHHaZQJMTCsVWhOVUOTOYyALvdahuUY/fWAXiG85MagoEq6T8!O6fyerx6/g9+2H22x2/dhR4h+0N2yN9qFJ1hLtvInXLOd5s8WOJKhhEuM06HlZErZ7n5iVY=
X-Complaints-To: abuse@giganews.com
X-DMCA-Notifications: http://www.giganews.com/info/dmca.html
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 4243
 by: Joe Gwinn - Sat, 1 Jan 2022 20:33 UTC

On Sat, 1 Jan 2022 18:59:06 -0000, "Kevin Aylward"
<kevinRemoveandReplaceATkevinaylward.co.uk> wrote:

>"Phil Hobbs" wrote in message
>news:cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net...
>
>Cursitor Doom wrote:
>> On Thu, 30 Dec 2021 19:11:41 -0500, Phil Hobbs
>> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>>
>>>> John Larkin wrote:
>>>> On Thu, 30 Dec 2021 21:34:27 -0000, "Kevin Aylward"
>>>>> <kevinRemoveandReplaceATkevinaylward.co.uk> wrote:
>>>>>
>>>>>> Why anyone would use a discrete transistor stage today is indeed a
>>>>> mystery...
>>>>>
>>>>>
>>>>>> -- Kevin Aylward
>>>>>
>>>>>> http://www.anasoft.co.uk/ SuperSpice
>>>>>> http://www.kevinaylward.co.uk/ee/index.html
>>>>
>>>>> Some of the RF people are ashamed to admit they are selling
>>>>> transistors. They label the pins RF IN and RF OUT and GROUND.
>>>>
>>>>> Sometimes a transistor is just what you need.
>>>>
>>>> Yup, particularly in front ends.
>>
>>> FETs are better in that role IMHO.
>>
>
>>For what in particular? ;)
>
>>There are a very few JFETs that are competitive with or even superior to
>>BJTs in wideband, low-level front ends.
>
>Probably true for most applications, especially for higher frequencies where
>drive impedances are low. The gm is going to be significantly larger at the
>same current.
>
>The classic application that jfets win is the guitar pickup front end. Base
>current noise is a problem when dropped across a 2 H inductor at 5kHz. even
>the dc resistance is a tad on the high side, maybe up to 10k. One also
>really requires an input resistance > 1M Ohm. 100k isn't enough.

Yes. I was doing such things about 15 years ago. The 2 H and 10 Kohms
(DC) values are typical. The coil has something like 10,000 turns of
AWG #42 enameled wire. An electric guitar pickup is a low-Q inductor
with a self resonant frequency around 3 KHz when loaded by the guitar
cable between pickup and the JFET input stage. The resonant Q might be
2.

I do have one detail to add:

It's useful to have a 100 K film resistor in series with the JFET
gate, to prevent output peak inversion on very strong input
transients, where the gate junction diode is driven solidly into
conduction.

Such transients are relatively common when the guitar is strummed
hard. The peak is some volts, as I recall.

I don't think it damages the JFET, but the peak inversion is probably
audible, more so than simply clipping the peak.

>>(People have often used even noisy JFETs such as J309s in receiver front
>>ends, but below VHF, the atmosphere is so noisy that you don't care very
>>much, and the reduced IMD is a help.)
>
>The 2SK162 for audio is actually very good. Gets down to a noise equivalent
>of 26 ohms, matching bipolars

Do you have any information on its flicker noise performance?

Joe Gwinn

Re: Gain

<f19e607a-4722-44bc-b41a-7137ea3dc5ban@googlegroups.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86391&group=sci.electronics.design#86391

  copy link   Newsgroups: sci.electronics.design
X-Received: by 2002:a05:622a:14c6:: with SMTP id u6mr35476447qtx.195.1641071925278;
Sat, 01 Jan 2022 13:18:45 -0800 (PST)
X-Received: by 2002:a25:f609:: with SMTP id t9mr33902086ybd.331.1641071925063;
Sat, 01 Jan 2022 13:18:45 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: sci.electronics.design
Date: Sat, 1 Jan 2022 13:18:44 -0800 (PST)
In-Reply-To: <0uc1tg5n66ff8o2vo0g7k7oqe7hq0mqrsf@4ax.com>
Injection-Info: google-groups.googlegroups.com; posting-host=115.64.40.208; posting-account=B_tJMAoAAAAmar-1r2H3x4CMhbFEou3n
NNTP-Posting-Host: 115.64.40.208
References: <sql45f$7oa$1@dont-email.me> <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net>
<Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com>
<d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net> <jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com>
<cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net> <3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com>
<0uc1tg5n66ff8o2vo0g7k7oqe7hq0mqrsf@4ax.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <f19e607a-4722-44bc-b41a-7137ea3dc5ban@googlegroups.com>
Subject: Re: Gain
From: palliso...@gmail.com (Phil Allison)
Injection-Date: Sat, 01 Jan 2022 21:18:45 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 40
 by: Phil Allison - Sat, 1 Jan 2022 21:18 UTC

Joe Gwinn wrote:
"Kevin Aylward"
----------------------------
> >The classic application that jfets win is the guitar pickup front end. Base
> >current noise is a problem when dropped across a 2 H inductor at 5kHz. even
> >the dc resistance is a tad on the high side, maybe up to 10k. One also
> >really requires an input resistance > 1M Ohm. 100k isn't enough.
-----------------------------------------------------------
> Yes. I was doing such things about 15 years ago. The 2 H and 10 Kohms
> (DC) values are typical. The coil has something like 10,000 turns of
> AWG #42 enameled wire. An electric guitar pickup is a low-Q inductor
> with a self resonant frequency around 3 KHz when loaded by the guitar
> cable between pickup and the JFET input stage. The resonant Q might be
> 2.

** Not true of those I have seen.
See actual measurements of popular PU types.
https://courses.physics.illinois.edu/phys406/sp2017/Lab_Handouts/Electric_Guitar_Pickup_Measurements.pdf

Self resonance is typically 6kHz and impedance up to 1Mohm.
The control pots in guitars are often 500kohm or 1Mohm.
Co-axial cables like RG58/59 can make good, low C guitar leads - 22pF per foot.

> It's useful to have a 100 K film resistor in series with the JFET
> gate,

** Adds noise and no benefit.

> to prevent output peak inversion on very strong input
> transients,

** Never an issue.

> Such transients are relatively common when the guitar is strummed
> hard. The peak is some volts, as I recall.

** Even a valve amp input would clip with that.

....... Phil

Re: Gain

<cnm1tglrvpk9psd1263qs9c3eu27fkg9al@4ax.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86394&group=sci.electronics.design#86394

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!buffer1.nntp.dca1.giganews.com!news.giganews.com.POSTED!not-for-mail
NNTP-Posting-Date: Sat, 01 Jan 2022 16:57:36 -0600
From: joegw...@comcast.net (Joe Gwinn)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Sat, 01 Jan 2022 17:57:36 -0500
Message-ID: <cnm1tglrvpk9psd1263qs9c3eu27fkg9al@4ax.com>
References: <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net> <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com> <d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net> <jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com> <cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net> <3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com> <0uc1tg5n66ff8o2vo0g7k7oqe7hq0mqrsf@4ax.com> <f19e607a-4722-44bc-b41a-7137ea3dc5ban@googlegroups.com>
User-Agent: ForteAgent/8.00.32.1272
MIME-Version: 1.0
Content-Type: text/plain; charset=us-ascii
Content-Transfer-Encoding: 7bit
Lines: 57
X-Usenet-Provider: http://www.giganews.com
X-Trace: sv3-txygqDAoC6yauDL2j5sIcFNdKB8lnZyHTzpJWBg898P8DsZrP30SSMukZzM53tNVdWb8dcu7JVQKvLf!5SYYJ6UWZrcZiwjSsmF7ukeWpisyCKS2wJdNlkwH7XUWF5OnVkuQJR15lPzq5QbtMfoWZLw=
X-Complaints-To: abuse@giganews.com
X-DMCA-Notifications: http://www.giganews.com/info/dmca.html
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 3583
 by: Joe Gwinn - Sat, 1 Jan 2022 22:57 UTC

On Sat, 1 Jan 2022 13:18:44 -0800 (PST), Phil Allison
<pallison49@gmail.com> wrote:

>Joe Gwinn wrote:
> "Kevin Aylward"
>----------------------------
>> >The classic application that jfets win is the guitar pickup front end. Base
>> >current noise is a problem when dropped across a 2 H inductor at 5kHz. even
>> >the dc resistance is a tad on the high side, maybe up to 10k. One also
>> >really requires an input resistance > 1M Ohm. 100k isn't enough.
>-----------------------------------------------------------
>> Yes. I was doing such things about 15 years ago. The 2 H and 10 Kohms
>> (DC) values are typical. The coil has something like 10,000 turns of
>> AWG #42 enameled wire. An electric guitar pickup is a low-Q inductor
>> with a self resonant frequency around 3 KHz when loaded by the guitar
>> cable between pickup and the JFET input stage. The resonant Q might be
>> 2.
>
>** Not true of those I have seen.
>See actual measurements of popular PU types.
>https://courses.physics.illinois.edu/phys406/sp2017/Lab_Handouts/Electric_Guitar_Pickup_Measurements.pdf
>
>Self resonance is typically 6kHz and impedance up to 1Mohm.
>The control pots in guitars are often 500kohm or 1Mohm.
>Co-axial cables like RG58/59 can make good, low C guitar leads - 22pF per foot.

All true, but the artists seem to like the resonance lower than 6 KHz
(the no-cable value), and add cable to achieve. These folk do have
golden ears.

>> It's useful to have a 100 K film resistor in series with the JFET
>> gate,
>
>** Adds noise and no benefit.

Adds some hiss for sure, benefit on balance is matter of opinion.

>> to prevent output peak inversion on very strong input
>> transients,
>
>** Never an issue.

I thought it blurred the attack transients, but never made the
behind-curtain tests necessary to settle the issue.

>> Such transients are relatively common when the guitar is strummed
>> hard. The peak is some volts, as I recall.
>
>** Even a valve amp input would clip with that.

Yes. Which is why I clipped it, once the inversion was prevented.

Joe Gwinn

Re: Gain

<9c7d5798-b171-417d-816a-2663a1aeada4n@googlegroups.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86395&group=sci.electronics.design#86395

  copy link   Newsgroups: sci.electronics.design
X-Received: by 2002:ad4:5ba3:: with SMTP id 3mr35788606qvq.59.1641078735482; Sat, 01 Jan 2022 15:12:15 -0800 (PST)
X-Received: by 2002:a25:db85:: with SMTP id g127mr41457855ybf.555.1641078735237; Sat, 01 Jan 2022 15:12:15 -0800 (PST)
Path: i2pn2.org!i2pn.org!aioe.org!news.uzoreto.com!tr1.eu1.usenetexpress.com!feeder.usenetexpress.com!tr2.iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: sci.electronics.design
Date: Sat, 1 Jan 2022 15:12:15 -0800 (PST)
In-Reply-To: <cnm1tglrvpk9psd1263qs9c3eu27fkg9al@4ax.com>
Injection-Info: google-groups.googlegroups.com; posting-host=115.64.40.208; posting-account=B_tJMAoAAAAmar-1r2H3x4CMhbFEou3n
NNTP-Posting-Host: 115.64.40.208
References: <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net> <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com> <d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net> <jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com> <cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net> <3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com> <0uc1tg5n66ff8o2vo0g7k7oqe7hq0mqrsf@4ax.com> <f19e607a-4722-44bc-b41a-7137ea3dc5ban@googlegroups.com> <cnm1tglrvpk9psd1263qs9c3eu27fkg9al@4ax.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <9c7d5798-b171-417d-816a-2663a1aeada4n@googlegroups.com>
Subject: Re: Gain
From: palliso...@gmail.com (Phil Allison)
Injection-Date: Sat, 01 Jan 2022 23:12:15 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 58
 by: Phil Allison - Sat, 1 Jan 2022 23:12 UTC

Joe Gwinn is a Dickhead wrote:
"Kevin Aylward"
---------------------------
>
> >** Not true of those I have seen.
> >See actual measurements of popular PU types.
> >https://courses.physics.illinois.edu/phys406/sp2017/Lab_Handouts/Electric_Guitar_Pickup_Measurements.pdf
> >
> >Self resonance is typically 6kHz and impedance up to 1Mohm.
> >The control pots in guitars are often 500kohm or 1Mohm.
> >Co-axial cables like RG58/59 can make good, low C guitar leads - 22pF per foot.
>
> All true, but the artists seem to like the resonance lower than 6 KHz

** What YOU think " artists ? " think is neither relevant or was claimed.

> (the no-cable value), and add cable to achieve.

** Made up horse poo.

> >> It's useful to have a 100 K film resistor in series with the JFET
> >> gate,
> >
> >** Adds noise and no benefit.
>
> Adds some hiss for sure, benefit on balance is matter of opinion.

** What YOU think is not relevant to simple facts.

> >> to prevent output peak inversion on very strong input
> >> transients,
> >
> >** Never an issue.

> I thought it blurred the attack transients,

** What YOU think is not relevant to simple facts .

> >> Such transients are relatively common when the guitar is strummed
> >> hard. The peak is some volts, as I recall.
> >
> >** Even a valve amp input would clip with that.
>
> Yes. Which is why I clipped it,

** Absurd drivel.

Been making and testing/repairing commercial guitar amps for all my 50 year career and must have dealt with 500 different guitar players.
( The ones I made all had JFET inputs )

FYI pal:

There is NO consensus among such "artists".

...... Phil

Re: Gain

<987eb54f-88c6-d65d-8133-cca7aa271d16@electrooptical.net>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86445&group=sci.electronics.design#86445

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!buffer1.nntp.dca1.giganews.com!nntp.supernews.com!news.supernews.com.POSTED!not-for-mail
NNTP-Posting-Date: Sun, 02 Jan 2022 08:22:42 -0600
Subject: Re: Gain
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me>
<c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com>
<f20usg56vavdbaos10d6056em3fr3ptmn7@4ax.com>
<6e3c0983-a2b6-42ca-8aa4-53300c1012b3n@googlegroups.com>
<7utusgh922159fj6lh4a12mpaeojg4l65p@4ax.com>
<67a59715-aefb-53e4-0d2f-f2680a3a097b@electrooptical.net>
<l6bvsgds5vva010lucr3arpsr34pnm8tqa@4ax.com>
From: pcdhSpam...@electrooptical.net (Phil Hobbs)
Message-ID: <987eb54f-88c6-d65d-8133-cca7aa271d16@electrooptical.net>
Date: Sun, 2 Jan 2022 09:22:40 -0500
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:60.0) Gecko/20100101
Thunderbird/60.0
MIME-Version: 1.0
In-Reply-To: <l6bvsgds5vva010lucr3arpsr34pnm8tqa@4ax.com>
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Lines: 122
X-Trace: sv3-JUzq3jni2yOo6w8tZNf6OaRl+QNTVC9mLiMiVFcSsT5NCeNukNQ2/TLiP4NEFUzd3Ox9vo4W6Cxpbuw!0B/B3Su45BSJkLpI2JeAnOV7hJ+Dnxaqfb7wlTvFeT4Knzc1/n/XICtj7Jt6SplM0S7Vh2LzAu5F!GmwxBELrDFKCX5m8KcB5ZQ==
X-Complaints-To: www.supernews.com/docs/abuse.html
X-DMCA-Complaints-To: www.supernews.com/docs/dmca.html
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 6203
 by: Phil Hobbs - Sun, 2 Jan 2022 14:22 UTC

Cursitor Doom wrote:
> On Fri, 31 Dec 2021 18:23:30 -0500, Phil Hobbs
> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>
>> Cursitor Doom wrote:
>>> On Fri, 31 Dec 2021 10:01:45 -0800 (PST), Rich S
>>> <richsulinengineer@gmail.com> wrote:
>>>
>>>> On Friday, December 31, 2021 at 1:07:02 PM UTC, Cursitor Doom wrote:
>>>>> On Thu, 30 Dec 2021 18:19:27 -0800 (PST), Rich S
>>>>> <richsuli...@gmail.com> wrote:
>>>>>
>>>>>> On Thursday, December 30, 2021 at 8:17:58 PM UTC, Cursitor Doom wrote:
>>>>>>> Gentlemen,
>>>>>>>
>>>>>>> When talking about common-emitter configuration BJT stages, is it
>>>>>>> feasible to get a voltage gain of 400 in one stage or would it be better
>>>>>>> to cascade two stages of 20? I'd really prefer to use just one stage if
>>>>>>> it can be done with stability. The input signal will be up to 20mV p-p
>>>>>>> and supply voltage 12V.
>>>>>>> cheers,
>>>>>>>
>>>>>>> CD.
>>>>>>
>>>>>> Hi CD,
>>>>>> If it were me, I'd grab a National Semiconducor
>>>>>> Linear Applications handbook, look at AN-222.
>>>>>> While its main topic is using the LM394, the
>>>>>> FIGURE 4 is fairly relevant to your project.
>>>>>> For the low-noise NPN, the AoE has table
>>>>>> with many to choose from.
>>>>>> cheers, RS
>>>>> I will; many thanks.
>>>>
>>>>
>>>>
>>>> More details (for those who need it)
>>>> AN222 may be tricky for some people
>>>> to find.
>>>> 1994_National_Linear_Applications_Handbook.pdf
>>>> page 435 (p. 460th in pdf)
>>>> https://archive.org/details/bitsavers_nationaldaLinearApplicationsHandbook_106847051/page/n459/mode/1up
>>>>
>>>> my point is, it's a "2" transistor low-noise
>>>> pre-amplifier. The LM394 could just as
>>>> well be any other low-noise NPN (or
>>>> multiple matched units in parallel).
>>>>
>>>> in lieu of LM394 , many choices are given
>>>> in Horowitz&Hill Art of Electronics, in table
>>>> of "low noise BJT transistors"
>>>>
>>>> cheers, RS
>>>
>>> I have several thousands of all sorts of transistors 'in stock' here
>>> and have been rummaging through them all today trying to find a
>>> matched pair of complimentary BJTs for the eventual power stage. Guess
>>> how many pairs I found? None! A few dozen matched pairs, but *all*
>>> totally unsuitable for one reason or another. I was totally certain
>>> I'd have several to choose from at the end of my hunt but it was not
>>> to be.
>>> What I *did* come across during the rummage, however, was a decent
>>> selection of NOS TDA series chips. I'm getting evil thoughts about
>>> cheating now. Sigh...
>>> :-/
>>>
>>
>> Why in the world do you need matched BJTs for this? That LM394 app note
>> was just using it as a single low-noise BJT amplifier, which is
>> something only an apps guy would even contemplate. Besides being
>> expensive, there's no advantage whatsoever over a single low-Rbb' device.
>>
>> A nice 2SD2704k or MPSA18, cascoded with a 2N3904 or something, will do
>> much better, and cost pennies.
>>
>> The PNP wraparound trick helps reduce the Miller capacitance, for sure.
>> It's a bit like a cascode, but generally slower since the collector
>> swing is suppressed by feedback rather than the diode action. (I'm a
>> big fan of local feedback in general.)
>>
>> It's also much more likely to oscillate if you do it wrong.
>
> I really appreciate that, Phil! You saying "if" rather than *when*
> LOL!

Well, you can always reduce the collector current and stabilize almost
anything. ;)
<snip>

> More and more I'm tempted to go down the IC route...

To get a gain of 400 at 10 kHz, you'll need both sections of that LM358A. ;)

To do it with discretes, you need to do a bit of algebra to understand
the issues. It's pretty simple though--there's no need to collect all
the effects into one giant opaque expression. It's better to follow all
the separate terms--the way the transconductance sets the maximum gain,
the Miller (C-B) rolloff due to source impedance and C-B capacitance,
and the Early effect that leads to an effective shunt resistance at the
collector.

You can ignore their interactions (e.g. the way the Early effect reduces
the gain, which reduces the Miller effect) because when any one effect
gets big enough for that to be an issue, you're already hosed. ;)

Doing the math that way is about the fastest way to generate intuition
about how the circuit actually works.

Cheers

Phil Hobbs

--
Dr Philip C D Hobbs
Principal Consultant
ElectroOptical Innovations LLC / Hobbs ElectroOptics
Optics, Electro-optics, Photonics, Analog Electronics
Briarcliff Manor NY 10510

http://electrooptical.net
http://hobbs-eo.com

Re: Gain

<sqspbi$jfs$1@dont-email.me>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86466&group=sci.electronics.design#86466

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!aioe.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: cd...@notformail.com (Cursitor Doom)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Sun, 2 Jan 2022 18:02:26 -0000 (UTC)
Organization: A noiseless patient Spider
Lines: 125
Message-ID: <sqspbi$jfs$1@dont-email.me>
References: <sql45f$7oa$1@dont-email.me>
<c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com>
<f20usg56vavdbaos10d6056em3fr3ptmn7@4ax.com>
<6e3c0983-a2b6-42ca-8aa4-53300c1012b3n@googlegroups.com>
<7utusgh922159fj6lh4a12mpaeojg4l65p@4ax.com>
<67a59715-aefb-53e4-0d2f-f2680a3a097b@electrooptical.net>
<l6bvsgds5vva010lucr3arpsr34pnm8tqa@4ax.com>
<987eb54f-88c6-d65d-8133-cca7aa271d16@electrooptical.net>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8
Content-Transfer-Encoding: 8bit
Injection-Date: Sun, 2 Jan 2022 18:02:26 -0000 (UTC)
Injection-Info: reader02.eternal-september.org; posting-host="b1fd7d988426708382259421fa04efcd";
logging-data="19964"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX19aiBB+avanE0OqTINUoATkOkBPNHCD6yk="
User-Agent: Pan/0.149 (Bellevue; 4c157ba git@gitlab.gnome.org:GNOME/pan.git)
Cancel-Lock: sha1:ylIeOEVu65cbSApM7HIodf3L7Uo=
 by: Cursitor Doom - Sun, 2 Jan 2022 18:02 UTC

On Sun, 2 Jan 2022 09:22:40 -0500, Phil Hobbs wrote:

> Cursitor Doom wrote:
>> On Fri, 31 Dec 2021 18:23:30 -0500, Phil Hobbs
>> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>>
>>> Cursitor Doom wrote:
>>>> On Fri, 31 Dec 2021 10:01:45 -0800 (PST), Rich S
>>>> <richsulinengineer@gmail.com> wrote:
>>>>
>>>>> On Friday, December 31, 2021 at 1:07:02 PM UTC, Cursitor Doom wrote:
>>>>>> On Thu, 30 Dec 2021 18:19:27 -0800 (PST), Rich S
>>>>>> <richsuli...@gmail.com> wrote:
>>>>>>
>>>>>>> On Thursday, December 30, 2021 at 8:17:58 PM UTC, Cursitor Doom
>>>>>>> wrote:
>>>>>>>> Gentlemen,
>>>>>>>>
>>>>>>>> When talking about common-emitter configuration BJT stages, is it
>>>>>>>> feasible to get a voltage gain of 400 in one stage or would it be
>>>>>>>> better to cascade two stages of 20? I'd really prefer to use just
>>>>>>>> one stage if it can be done with stability. The input signal will
>>>>>>>> be up to 20mV p-p and supply voltage 12V.
>>>>>>>> cheers,
>>>>>>>>
>>>>>>>> CD.
>>>>>>>
>>>>>>> Hi CD,
>>>>>>> If it were me, I'd grab a National Semiconducor Linear
>>>>>>> Applications handbook, look at AN-222.
>>>>>>> While its main topic is using the LM394, the FIGURE 4 is fairly
>>>>>>> relevant to your project.
>>>>>>> For the low-noise NPN, the AoE has table with many to choose from.
>>>>>>> cheers, RS
>>>>>> I will; many thanks.
>>>>>
>>>>>
>>>>>
>>>>> More details (for those who need it)
>>>>> AN222 may be tricky for some people to find.
>>>>> 1994_National_Linear_Applications_Handbook.pdf page 435 (p. 460th in
>>>>> pdf)
>>>>> https://archive.org/details/
bitsavers_nationaldaLinearApplicationsHandbook_106847051/page/n459/mode/
1up
>>>>>
>>>>> my point is, it's a "2" transistor low-noise pre-amplifier. The
>>>>> LM394 could just as well be any other low-noise NPN (or multiple
>>>>> matched units in parallel).
>>>>>
>>>>> in lieu of LM394 , many choices are given in Horowitz&Hill Art of
>>>>> Electronics, in table of "low noise BJT transistors"
>>>>>
>>>>> cheers, RS
>>>>
>>>> I have several thousands of all sorts of transistors 'in stock' here
>>>> and have been rummaging through them all today trying to find a
>>>> matched pair of complimentary BJTs for the eventual power stage.
>>>> Guess how many pairs I found? None! A few dozen matched pairs, but
>>>> *all* totally unsuitable for one reason or another. I was totally
>>>> certain I'd have several to choose from at the end of my hunt but it
>>>> was not to be.
>>>> What I *did* come across during the rummage, however, was a decent
>>>> selection of NOS TDA series chips. I'm getting evil thoughts about
>>>> cheating now. Sigh...
>>>> :-/
>>>>
>>>>
>>> Why in the world do you need matched BJTs for this? That LM394 app
>>> note was just using it as a single low-noise BJT amplifier, which is
>>> something only an apps guy would even contemplate. Besides being
>>> expensive, there's no advantage whatsoever over a single low-Rbb'
>>> device.
>>>
>>> A nice 2SD2704k or MPSA18, cascoded with a 2N3904 or something, will
>>> do much better, and cost pennies.
>>>
>>> The PNP wraparound trick helps reduce the Miller capacitance, for
>>> sure. It's a bit like a cascode, but generally slower since the
>>> collector swing is suppressed by feedback rather than the diode
>>> action. (I'm a big fan of local feedback in general.)
>>>
>>> It's also much more likely to oscillate if you do it wrong.
>>
>> I really appreciate that, Phil! You saying "if" rather than *when*
>> LOL!
>
> Well, you can always reduce the collector current and stabilize almost
> anything. ;)
> <snip>
>
>> More and more I'm tempted to go down the IC route...
>
> To get a gain of 400 at 10 kHz, you'll need both sections of that
> LM358A. ;)
>
> To do it with discretes, you need to do a bit of algebra to understand
> the issues. It's pretty simple though--there's no need to collect all
> the effects into one giant opaque expression. It's better to follow all
> the separate terms--the way the transconductance sets the maximum gain,
> the Miller (C-B) rolloff due to source impedance and C-B capacitance,
> and the Early effect that leads to an effective shunt resistance at the
> collector.
>
> You can ignore their interactions (e.g. the way the Early effect reduces
> the gain, which reduces the Miller effect) because when any one effect
> gets big enough for that to be an issue, you're already hosed. ;)

Miller effect; Early effect. I've got some reading up to do, clearly!
Seriously, I already have a future project in mind for an audio amp using
good old tubes just for the hell of it and will hold back til then from
studying the finer points. For *this* particular problem (the one under
discussion here; just getting this vintage radio working again) however,
I'm coming around to your suggestion of the quickest solution and just
going for a suitable IC. I believe I've found one in my copious treasury
of old bits. The only reservation I have is if it's suitable to use in
battery powered equipment. I'll post about this under a fresh thread title
shortly.

> Doing the math that way is about the fastest way to generate intuition
> about how the circuit actually works.

That mathematics don't lie, but hand calcs can be very, very time
consuming in certain circs. That's the outstanding benefit of the Spice
programs IMHO.

Re: Gain

<d2295264-a945-3074-7cd8-7a2ef3859778@electrooptical.net>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86471&group=sci.electronics.design#86471

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!nntp.supernews.com!news.supernews.com.POSTED!not-for-mail
NNTP-Posting-Date: Sun, 02 Jan 2022 13:31:09 -0600
Subject: Re: Gain
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me>
<c2626621-9474-4bdd-80a5-9fcc081192f8n@googlegroups.com>
<f20usg56vavdbaos10d6056em3fr3ptmn7@4ax.com>
<6e3c0983-a2b6-42ca-8aa4-53300c1012b3n@googlegroups.com>
<7utusgh922159fj6lh4a12mpaeojg4l65p@4ax.com>
<67a59715-aefb-53e4-0d2f-f2680a3a097b@electrooptical.net>
<l6bvsgds5vva010lucr3arpsr34pnm8tqa@4ax.com>
<987eb54f-88c6-d65d-8133-cca7aa271d16@electrooptical.net>
<sqspbi$jfs$1@dont-email.me>
From: pcdhSpam...@electrooptical.net (Phil Hobbs)
Message-ID: <d2295264-a945-3074-7cd8-7a2ef3859778@electrooptical.net>
Date: Sun, 2 Jan 2022 14:30:34 -0500
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:60.0) Gecko/20100101
Thunderbird/60.0
MIME-Version: 1.0
In-Reply-To: <sqspbi$jfs$1@dont-email.me>
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Lines: 185
X-Trace: sv3-IrDDPm86G9SrNkgQJOJC/dBM94XllgVlPcJztkDcXnmb0f1zGZ+cbTHFX513YrSo1ojPK3C+eN3v3St!MmNZyOKvVTQp6xk4jD38/RWUIt7I0Kcdf/q9TD4eN0gGYrEJTf+DKnSythtTq183LdXEXmMp9YV+!FNDdvKGRLRP1LlXLgJuWzOM=
X-Complaints-To: www.supernews.com/docs/abuse.html
X-DMCA-Complaints-To: www.supernews.com/docs/dmca.html
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 9199
 by: Phil Hobbs - Sun, 2 Jan 2022 19:30 UTC

Cursitor Doom wrote:
> On Sun, 2 Jan 2022 09:22:40 -0500, Phil Hobbs wrote:
>
>> Cursitor Doom wrote:
>>> On Fri, 31 Dec 2021 18:23:30 -0500, Phil Hobbs
>>> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>>>
>>>> Cursitor Doom wrote:
>>>>> On Fri, 31 Dec 2021 10:01:45 -0800 (PST), Rich S
>>>>> <richsulinengineer@gmail.com> wrote:
>>>>>
>>>>>> On Friday, December 31, 2021 at 1:07:02 PM UTC, Cursitor Doom wrote:
>>>>>>> On Thu, 30 Dec 2021 18:19:27 -0800 (PST), Rich S
>>>>>>> <richsuli...@gmail.com> wrote:
>>>>>>>
>>>>>>>> On Thursday, December 30, 2021 at 8:17:58 PM UTC, Cursitor Doom
>>>>>>>> wrote:
>>>>>>>>> Gentlemen,
>>>>>>>>>
>>>>>>>>> When talking about common-emitter configuration BJT stages, is it
>>>>>>>>> feasible to get a voltage gain of 400 in one stage or would it be
>>>>>>>>> better to cascade two stages of 20? I'd really prefer to use just
>>>>>>>>> one stage if it can be done with stability. The input signal will
>>>>>>>>> be up to 20mV p-p and supply voltage 12V.
>>>>>>>>> cheers,
>>>>>>>>>
>>>>>>>>> CD.
>>>>>>>>
>>>>>>>> Hi CD,
>>>>>>>> If it were me, I'd grab a National Semiconducor Linear
>>>>>>>> Applications handbook, look at AN-222.
>>>>>>>> While its main topic is using the LM394, the FIGURE 4 is fairly
>>>>>>>> relevant to your project.
>>>>>>>> For the low-noise NPN, the AoE has table with many to choose from.
>>>>>>>> cheers, RS
>>>>>>> I will; many thanks.
>>>>>>
>>>>>>
>>>>>>
>>>>>> More details (for those who need it)
>>>>>> AN222 may be tricky for some people to find.
>>>>>> 1994_National_Linear_Applications_Handbook.pdf page 435 (p. 460th in
>>>>>> pdf)
>>>>>> https://archive.org/details/
> bitsavers_nationaldaLinearApplicationsHandbook_106847051/page/n459/mode/
> 1up
>>>>>>
>>>>>> my point is, it's a "2" transistor low-noise pre-amplifier. The
>>>>>> LM394 could just as well be any other low-noise NPN (or multiple
>>>>>> matched units in parallel).
>>>>>>
>>>>>> in lieu of LM394 , many choices are given in Horowitz&Hill Art of
>>>>>> Electronics, in table of "low noise BJT transistors"
>>>>>>
>>>>>> cheers, RS
>>>>>
>>>>> I have several thousands of all sorts of transistors 'in stock' here
>>>>> and have been rummaging through them all today trying to find a
>>>>> matched pair of complimentary BJTs for the eventual power stage.
>>>>> Guess how many pairs I found? None! A few dozen matched pairs, but
>>>>> *all* totally unsuitable for one reason or another. I was totally
>>>>> certain I'd have several to choose from at the end of my hunt but it
>>>>> was not to be.
>>>>> What I *did* come across during the rummage, however, was a decent
>>>>> selection of NOS TDA series chips. I'm getting evil thoughts about
>>>>> cheating now. Sigh...
>>>>> :-/
>>>>>
>>>>>
>>>> Why in the world do you need matched BJTs for this? That LM394 app
>>>> note was just using it as a single low-noise BJT amplifier, which is
>>>> something only an apps guy would even contemplate. Besides being
>>>> expensive, there's no advantage whatsoever over a single low-Rbb'
>>>> device.
>>>>
>>>> A nice 2SD2704k or MPSA18, cascoded with a 2N3904 or something, will
>>>> do much better, and cost pennies.
>>>>
>>>> The PNP wraparound trick helps reduce the Miller capacitance, for
>>>> sure. It's a bit like a cascode, but generally slower since the
>>>> collector swing is suppressed by feedback rather than the diode
>>>> action. (I'm a big fan of local feedback in general.)
>>>>
>>>> It's also much more likely to oscillate if you do it wrong.
>>>
>>> I really appreciate that, Phil! You saying "if" rather than *when*
>>> LOL!
>>
>> Well, you can always reduce the collector current and stabilize almost
>> anything. ;)
>> <snip>
>>
>>> More and more I'm tempted to go down the IC route...
>>
>> To get a gain of 400 at 10 kHz, you'll need both sections of that
>> LM358A. ;)
>>
>> To do it with discretes, you need to do a bit of algebra to understand
>> the issues. It's pretty simple though--there's no need to collect all
>> the effects into one giant opaque expression. It's better to follow all
>> the separate terms--the way the transconductance sets the maximum gain,
>> the Miller (C-B) rolloff due to source impedance and C-B capacitance,
>> and the Early effect that leads to an effective shunt resistance at the
>> collector.
>>
>> You can ignore their interactions (e.g. the way the Early effect reduces
>> the gain, which reduces the Miller effect) because when any one effect
>> gets big enough for that to be an issue, you're already hosed. ;)
>
> Miller effect; Early effect. I've got some reading up to do, clearly!
> Seriously, I already have a future project in mind for an audio amp using
> good old tubes just for the hell of it and will hold back til then from
> studying the finer points. For *this* particular problem (the one under
> discussion here; just getting this vintage radio working again) however,
> I'm coming around to your suggestion of the quickest solution and just
> going for a suitable IC. I believe I've found one in my copious treasury
> of old bits. The only reservation I have is if it's suitable to use in
> battery powered equipment. I'll post about this under a fresh thread title
> shortly.
>
>> Doing the math that way is about the fastest way to generate intuition
>> about how the circuit actually works.
>
> That mathematics don't lie, but hand calcs can be very, very time
> consuming in certain circs. That's the outstanding benefit of the Spice
> programs IMHO.

If you do them wrong, e.g. building that huge opaque expression that has
all the effects at once, that's true. But there's no need to do that in
most cases.

What I'm talking about is like this:

1. Miller effect: The capacitive current at the base due to Miller
effect is

i_Miller = -C_cb * d V_cb / dt = (|A_V| + 1) C_cb dV_be / dt,

where as usual A_V is the voltage gain of the stage (-400 in this case).

Thus the effective input capacitance of an amp with a gain of -400 is

C_in ~= 401 C_cb.

So you have a rolloff with a 3-dB corner at

f_Miller = 1/(2 pi C_cb R_s) * 1/401.

With a 400-ohm source and a 3-pF C_cb, that comes out to 330 kHz. So
Miller doesn't do a lot at 10 kHz, and we can ignore him henceforward.

2. Early effect is similar, but more or less frequency independent: it
looks like a differential resistance in parallel with the collector, and
is characterized by the Early voltage V_A, which is the X-axis intercept
of the I_C vs. V_CE curve. (It's not a real DC resistance, so it
doesn't matter where the other end goes as long as it's signal ground.)

R_Early = (V_CE + V_A) / I_C.

Here all all quantities are assumed positive, even though the X
intercept is usually far out on the negative V axis. (That's why V-CE
gets added in--the slope is the rise over the run.) This shunt
resistance appears in parallel with the external collector resistor, and
reduces the gain exactly as you'd expect if you had a real resistor there.

We talked about how to handle the transconductance earlier.

So from a math POV it's dead simple. You just need a clear idea of what
each effect actually does to the circuit, so you know what you can
ignore and what you have to work around.

Cheers

Phil Hobbs

--
Dr Philip C D Hobbs
Principal Consultant
ElectroOptical Innovations LLC / Hobbs ElectroOptics
Optics, Electro-optics, Photonics, Analog Electronics
Briarcliff Manor NY 10510


Click here to read the complete article
Re: Gain

<sqt0g4$90s$1@gioia.aioe.org>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86472&group=sci.electronics.design#86472

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!aioe.org!FOcpmmMF9OrDdx/6DZOTmA.user.46.165.242.75.POSTED!not-for-mail
From: pcdhSpam...@electrooptical.net (Phil Hobbs)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Sun, 2 Jan 2022 15:04:19 -0500
Organization: Aioe.org NNTP Server
Message-ID: <sqt0g4$90s$1@gioia.aioe.org>
References: <sql45f$7oa$1@dont-email.me>
<905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net>
<Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com>
<e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com>
<d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net>
<jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com>
<cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net>
<3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Info: gioia.aioe.org; logging-data="9244"; posting-host="FOcpmmMF9OrDdx/6DZOTmA.user.gioia.aioe.org"; mail-complaints-to="abuse@aioe.org";
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:60.0) Gecko/20100101
Thunderbird/60.0
X-Notice: Filtered by postfilter v. 0.9.2
 by: Phil Hobbs - Sun, 2 Jan 2022 20:04 UTC

Kevin Aylward wrote:
> "Phil Hobbs"  wrote in message
> news:cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net...
>
> Cursitor Doom wrote:
>> On Thu, 30 Dec 2021 19:11:41 -0500, Phil Hobbs
>> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>>
>>>> John Larkin wrote:
>>>> On Thu, 30 Dec 2021 21:34:27 -0000, "Kevin Aylward"
>>>>> <kevinRemoveandReplaceATkevinaylward.co.uk> wrote:
>>>>>
>>>>>> Why anyone would use a discrete transistor stage today is indeed a
>>>>> mystery...
>>>>>
>>>>>
>>>>>> -- Kevin Aylward
>>>>>
>>>>>> http://www.anasoft.co.uk/ SuperSpice
>>>>>> http://www.kevinaylward.co.uk/ee/index.html
>>>>
>>>>> Some of the RF people are ashamed to admit they are selling
>>>>> transistors. They label the pins RF IN and RF OUT and GROUND.
>>>>
>>>>> Sometimes a transistor is just what you need.
>>>>
>>>> Yup, particularly in front ends.
>>
>>> FETs are better in that role IMHO.
>>
>
>> For what in particular? ;)
>
>> There are a very few JFETs that are competitive with or even superior
>> to BJTs in wideband, low-level front ends.
>
> Probably true for most applications, especially for higher frequencies
> where drive impedances are low. The gm is going to be significantly
> larger at the same current.
>
> The classic application that jfets win is the guitar pickup front end.
> Base current noise is a problem when dropped across a 2 H inductor at
> 5kHz. even the dc resistance is a tad on the high side, maybe up to 10k.
> One also really requires an input resistance > 1M Ohm. 100k isn't enough.
>
>> (People have often used even noisy JFETs such as J309s in receiver
>> front ends, but below VHF, the atmosphere is so noisy that you don't
>> care very much, and the reduced IMD is a help.)
>
> The 2SK162 for audio is actually very good. Gets down to a noise
> equivalent of 26 ohms, matching bipolars
>
>> I do a fair few mostly-discrete front ends for various things.  ICs
>> are great at what they do well, and can often be repurposed for things
>> their designers never intended, but even in 2022 there are a lot, a
>> lot of things you can do with discrete front ends that no IC can touch.
>
> Sure, with off the shelf ics there are  specialised gaps that they cant
> fill.
>
> If there is a large enough market, there is, nothing that can't be done
> by a full ic implementation. It might require more than one ic though.

Your faith is touching, but misplaced. There are a lot of discrete GaN
FETs, IGBTs, and so on that aren't going away any time very soon. Many
front end things are like that too.

>
> Most off the shelf ics such as op-amps are going to be "general purpose"
> not ASIC (Application Specific)
>
> If one takes an iPhone, its 100s of "custom" ICs.
>
>> The one we've been discussing has an InGaAs pHEMT , two tiny GaN FETs,
>> and three 60-GHz Si BJTs.  Good luck getting all that on a chip.  (And
>> for Kevin's benefit: no, you couldn't integrate the equivalent
>> function in plain silicon.)
>
> Its certainly difficult to get all types of devices in one process.
> However, even Analog Devices might supply what looks like one ic
> package, but actually has several die from different processes.

Sure, but that's a hybrid, not an IC. My front end would probably fit
in a 40-pin CERDIP too.

> One can integrate any discrete device. An integrated circuit is just
> more than one different discrete devices on one die.

If you can figure out how to get power GaN FETs really monolithically
integrated with silicon CMOS, you could make a lot of money. Ain't
happening soon.

Just the lattice matching, strain, and process compatibility issues are
horrendous.

(Yes, people have published papers on it, and have begun looking at
doing wafer bonding. The yield problems with that approach would make a
brave man blench.)

>
> ASIC design is fundamentally different to discrete. Transistors are
> cheap, as is calibration. I would have to examine what your product spec
> is to evaluate how one would do it in an ic.

Sure, I've collaborated on chip designs, so I know the drill. Getting 6
GHz f_max, very low noise (300 pV /sqrt(Hz) in the flatband, with
sub-nanoamp gate leakage on the one hand, with ~5-ns switching over a
500-MHz isolation barrier, all with low enough capacitance to sit on a a
fast bootstrapped node, is not something a chip design house would even
bother bidding on, regardless of the volume.

> I haven't checked all the combinations available in current processes,
> but there are certainly "standard" (mos & bipolar) component processes
> that have GaN FETs, and "standard" processes with SiGe 200GHz devices,
> and cmos processes with pHEMT. There are a LOT of processes out there.

SiGe, I believe. The others are all wafer-bonding or die-on-wafer AFAICT.

> If the market size is there, its always possible to integrate anything.

And I have a bridge to sell you.

> For small markets, there may be be a few applications where discrete is
> the way to go.
>
> There are fundamental advantages to ic implementation. Routing
> capacitances are at the ff level for starters.

Absolutely. And for most things those sorts of advantages, together
with monolithic matching and so forth, are enough that a clever designer
with a sufficiently-big budget can do a good job.

That time stretcher thing I was talking about works a lot better in an
IC--the proto used eight 2-GHz CFAs, each with three pHEMT T/Hs hung on it.

Each T/H hold cap was connected directly to an input of a
simultaneous-sampling ADC, and the channels were sampled sequentially
at much lower speed. The hold capacitors thus had to be several
picofarads, which confused the CFAs when the switches opened, so we had
to interleave the sampling to give the amps time to recover a bit.
Sucked power like anything, but did demonstrate that you can get
multiple well-behaved sub-nanosecond time slices in a single shot
without needing a big-iron digitizer/FPGA solution.

We're in the process of integrating that and scaling it up to 4096 APDs
x 24 samples per shot.

High performance front ends, not so much. A couple of CPH3910s, or a
SAV-551+ cascoded with a BFP640, can do things no available IC can
touch, even with no high voltage requirement.

Cheers

Phil Hobbs

--
Dr Philip C D Hobbs
Principal Consultant
ElectroOptical Innovations LLC / Hobbs ElectroOptics
Optics, Electro-optics, Photonics, Analog Electronics
Briarcliff Manor NY 10510

http://electrooptical.net
http://hobbs-eo.com

Re: Gain

<7276eea7-f4da-fd50-b748-dfe104fb913b@electrooptical.net>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86474&group=sci.electronics.design#86474

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!nntp.supernews.com!news.supernews.com.POSTED!not-for-mail
NNTP-Posting-Date: Sun, 02 Jan 2022 14:45:23 -0600
Subject: Re: Gain
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me>
<905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net>
<Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com>
<e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com>
<d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net>
<jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com>
<cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net>
<3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com> <sqt0g4$90s$1@gioia.aioe.org>
From: pcdhSpam...@electrooptical.net (Phil Hobbs)
Message-ID: <7276eea7-f4da-fd50-b748-dfe104fb913b@electrooptical.net>
Date: Sun, 2 Jan 2022 15:45:22 -0500
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:60.0) Gecko/20100101
Thunderbird/60.0
MIME-Version: 1.0
In-Reply-To: <sqt0g4$90s$1@gioia.aioe.org>
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Lines: 175
X-Trace: sv3-sHn5FdVPyss/uju3qwWUBDbg9fUqgz9yf3QHUFJIrwjvxRMSZoj5Ho9ez+he2BAWpcCjSxLEsBFQvcL!LOIyPqfsPgWrbaze5LeqJpqtokIFv40YLdp4uwPEd/6da6Pic8P4UatcHkBT7BSqkgfvq4n8Ux/d!7Rl4lMVdl76VNTkZixSHais=
X-Complaints-To: www.supernews.com/docs/abuse.html
X-DMCA-Complaints-To: www.supernews.com/docs/dmca.html
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 8514
 by: Phil Hobbs - Sun, 2 Jan 2022 20:45 UTC

Phil Hobbs wrote:
> Kevin Aylward wrote:
>> "Phil Hobbs"  wrote in message
>> news:cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net...
>>
>> Cursitor Doom wrote:
>>> On Thu, 30 Dec 2021 19:11:41 -0500, Phil Hobbs
>>> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>>>
>>>>> John Larkin wrote:
>>>>> On Thu, 30 Dec 2021 21:34:27 -0000, "Kevin Aylward"
>>>>>> <kevinRemoveandReplaceATkevinaylward.co.uk> wrote:
>>>>>>
>>>>>>> Why anyone would use a discrete transistor stage today is indeed a
>>>>>> mystery...
>>>>>>
>>>>>>
>>>>>>> -- Kevin Aylward
>>>>>>
>>>>>>> http://www.anasoft.co.uk/ SuperSpice
>>>>>>> http://www.kevinaylward.co.uk/ee/index.html
>>>>>
>>>>>> Some of the RF people are ashamed to admit they are selling
>>>>>> transistors. They label the pins RF IN and RF OUT and GROUND.
>>>>>
>>>>>> Sometimes a transistor is just what you need.
>>>>>
>>>>> Yup, particularly in front ends.
>>>
>>>> FETs are better in that role IMHO.
>>>
>>
>>> For what in particular? ;)
>>
>>> There are a very few JFETs that are competitive with or even superior
>>> to BJTs in wideband, low-level front ends.
>>
>> Probably true for most applications, especially for higher frequencies
>> where drive impedances are low. The gm is going to be significantly
>> larger at the same current.
>>
>> The classic application that jfets win is the guitar pickup front end.
>> Base current noise is a problem when dropped across a 2 H inductor at
>> 5kHz. even the dc resistance is a tad on the high side, maybe up to
>> 10k. One also really requires an input resistance > 1M Ohm. 100k isn't
>> enough.
>>
>>> (People have often used even noisy JFETs such as J309s in receiver
>>> front ends, but below VHF, the atmosphere is so noisy that you don't
>>> care very much, and the reduced IMD is a help.)
>>
>> The 2SK162 for audio is actually very good. Gets down to a noise
>> equivalent of 26 ohms, matching bipolars
>>
>>> I do a fair few mostly-discrete front ends for various things.  ICs
>>> are great at what they do well, and can often be repurposed for
>>> things their designers never intended, but even in 2022 there are a
>>> lot, a lot of things you can do with discrete front ends that no IC
>>> can touch.
>>
>> Sure, with off the shelf ics there are  specialised gaps that they
>> cant fill.
>>
>> If there is a large enough market, there is, nothing that can't be
>> done by a full ic implementation. It might require more than one ic
>> though.
>
> Your faith is touching, but misplaced.  There are a lot of discrete GaN
> FETs, IGBTs, and so on that aren't going away any time very soon.  Many
> front end things are like that too.
>
>>
>> Most off the shelf ics such as op-amps are going to be "general
>> purpose" not ASIC (Application Specific)
>>
>> If one takes an iPhone, its 100s of "custom" ICs.
>>
>>> The one we've been discussing has an InGaAs pHEMT , two tiny GaN
>>> FETs, and three 60-GHz Si BJTs.  Good luck getting all that on a
>>> chip.  (And for Kevin's benefit: no, you couldn't integrate the
>>> equivalent function in plain silicon.)
>>
>> Its certainly difficult to get all types of devices in one process.
>> However, even Analog Devices might supply what looks like one ic
>> package, but actually has several die from different processes.
>
> Sure, but that's a hybrid, not an IC.  My front end would probably fit
> in a 40-pin CERDIP too.
>
>> One can integrate any discrete device. An integrated circuit is just
>> more than one different discrete devices on one die.
>
> If you can figure out how to get power GaN FETs really monolithically
> integrated with silicon CMOS, you could make a lot of money.  Ain't
> happening soon.
>
> Just the lattice matching, strain, and process compatibility issues are
> horrendous.
>
> (Yes, people have published papers on it, and have begun looking at
> doing wafer bonding.  The yield problems with that approach would make a
> brave man blench.)
>
>>
>> ASIC design is fundamentally different to discrete. Transistors are
>> cheap, as is calibration. I would have to examine what your product
>> spec is to evaluate how one would do it in an ic.
>
> Sure, I've collaborated on chip designs, so I know the drill.  Getting 6
> GHz f_max, very low noise (300 pV /sqrt(Hz) in the flatband, with
> sub-nanoamp gate leakage on the one hand, with ~5-ns switching over a
> 500-

volt

> isolation barrier, all with low enough capacitance to sit on a a
> fast bootstrapped node, is not something a chip design house would even
> bother bidding on, regardless of the volume.
>
>> I haven't checked all the combinations available in current processes,
>> but there are certainly "standard" (mos & bipolar) component processes
>> that have GaN FETs, and "standard" processes with SiGe 200GHz devices,
>> and cmos processes with pHEMT. There are a LOT of processes out there.
>
> SiGe, I believe. The others are all wafer-bonding or die-on-wafer AFAICT.
>
>> If the market size is there, its always possible to integrate anything.
>
> And I have a bridge to sell you.
>
>> For small markets, there may be be a few applications where discrete
>> is the way to go.
>>
>> There are fundamental advantages to ic implementation. Routing
>> capacitances are at the ff level for starters.
>
> Absolutely.  And for most things those sorts of advantages, together
> with monolithic matching and so forth, are enough that a clever designer
> with a sufficiently-big budget can do a good job.
>
> That time stretcher thing I was talking about works a lot better in an
> IC--the proto used eight 2-GHz CFAs, each with three pHEMT T/Hs hung on it.
>
> Each  T/H hold cap was connected directly to an input of a
> simultaneous-sampling ADC, and  the channels were sampled sequentially
> at much lower speed.  The hold capacitors thus had to be several
> picofarads, which confused the CFAs when the switches opened, so we had
> to interleave the sampling to give the amps time to recover a bit.
> Sucked power like anything, but did demonstrate that you can get
> multiple well-behaved sub-nanosecond time slices in a single shot
> without needing a big-iron digitizer/FPGA solution.
>
> We're in the process of integrating that and scaling it  up to 4096 APDs
> x 24 samples per shot.
>
> High performance front ends, not so much.  A couple of CPH3910s, or a
> SAV-551+ cascoded with a BFP640, can do things no available IC can
> touch, even with no high voltage requirement.
>
> Cheers
>
> Phil Hobbs
>

--
Dr Philip C D Hobbs
Principal Consultant
ElectroOptical Innovations LLC / Hobbs ElectroOptics
Optics, Electro-optics, Photonics, Analog Electronics
Briarcliff Manor NY 10510

http://electrooptical.net
http://hobbs-eo.com

Re: Gain

<a4b6tg5f57upi1s1otadsnrjc38ulbh355@4ax.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86525&group=sci.electronics.design#86525

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!aioe.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: sala.n...@mail.com (LM)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Mon, 03 Jan 2022 19:04:30 +0200
Organization: A noiseless patient Spider
Lines: 8
Message-ID: <a4b6tg5f57upi1s1otadsnrjc38ulbh355@4ax.com>
References: <sql45f$7oa$1@dont-email.me> <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net> <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com> <d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net> <jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com> <cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net> <3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com> <sqt0g4$90s$1@gioia.aioe.org>
Mime-Version: 1.0
Content-Type: text/plain; charset=us-ascii
Content-Transfer-Encoding: 7bit
Injection-Info: reader02.eternal-september.org; posting-host="51b105b569db8f274195fd134eab4e8c";
logging-data="30006"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/aycb8xulsoIilEyV9q0yi"
Cancel-Lock: sha1:jqqwIDIOOgEZ6mvV+ZMyjEdzzlo=
X-Newsreader: Forte Free Agent 3.3/32.846
 by: LM - Mon, 3 Jan 2022 17:04 UTC

On Sun, 2 Jan 2022 15:04:19 -0500, Phil Hobbs
<pcdhSpamMeSenseless@electrooptical.net> wrote:

>High performance front ends, not so much. A couple of CPH3910s, or a
>SAV-551+ cascoded with a BFP640, can do things no available IC can
>touch, even with no high voltage requirement.
OT: There are no CPH3910s in stock at Digikey. First microcontrollers
and now this.

Re: Gain

<9b76111b-5229-a43d-1fea-597a7542dc12@electrooptical.net>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86532&group=sci.electronics.design#86532

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!buffer1.nntp.dca1.giganews.com!nntp.supernews.com!news.supernews.com.POSTED!not-for-mail
NNTP-Posting-Date: Mon, 03 Jan 2022 14:56:17 -0600
Subject: Re: Gain
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me>
<905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net>
<Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com>
<e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com>
<d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net>
<jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com>
<cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net>
<3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com> <sqt0g4$90s$1@gioia.aioe.org>
<a4b6tg5f57upi1s1otadsnrjc38ulbh355@4ax.com>
From: pcdhSpam...@electrooptical.net (Phil Hobbs)
Message-ID: <9b76111b-5229-a43d-1fea-597a7542dc12@electrooptical.net>
Date: Mon, 3 Jan 2022 15:56:16 -0500
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:60.0) Gecko/20100101
Thunderbird/60.0
MIME-Version: 1.0
In-Reply-To: <a4b6tg5f57upi1s1otadsnrjc38ulbh355@4ax.com>
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Lines: 27
X-Trace: sv3-T2M1E22vcqEgd9hakcipyfBYNylerTL8Th/buXeRGKcgTOA5WhqqXU+UlzLxmyLHUKKA/2VLz1p3gcr!58KVRq6LS17iOf11GOaJ1YSP+UlijCbs5gxYcqZzuN89tYNpWDWLyVHPP0tMLXBro/Jkg13Mb6wX!A6kWUENlcNxeqwdJpMiuKnRi
X-Complaints-To: www.supernews.com/docs/abuse.html
X-DMCA-Complaints-To: www.supernews.com/docs/dmca.html
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 2316
 by: Phil Hobbs - Mon, 3 Jan 2022 20:56 UTC

LM wrote:
> On Sun, 2 Jan 2022 15:04:19 -0500, Phil Hobbs
> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>
>> High performance front ends, not so much. A couple of CPH3910s, or a
>> SAV-551+ cascoded with a BFP640, can do things no available IC can
>> touch, even with no high voltage requirement.
> OT: There are no CPH3910s in stock at Digikey. First microcontrollers
> and now this.
>

I have 30k of them in stock. ;)

Cheers

Phil Hobbs

--
Dr Philip C D Hobbs
Principal Consultant
ElectroOptical Innovations LLC / Hobbs ElectroOptics
Optics, Electro-optics, Photonics, Analog Electronics
Briarcliff Manor NY 10510

http://electrooptical.net
http://hobbs-eo.com

Re: Gain

<16c6e837433bf91f$1$3234479$32dd386f@news.thecubenet.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86539&group=sci.electronics.design#86539

  copy link   Newsgroups: sci.electronics.design
Subject: Re: Gain
Newsgroups: sci.electronics.design
References: <sql45f$7oa$1@dont-email.me> <905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net> <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com>
From: no.s...@please.net (Clifford Heath)
Date: Tue, 4 Jan 2022 11:00:35 +1100
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:68.0) Gecko/20100101 Thunderbird/68.10.0
MIME-Version: 1.0
In-Reply-To: <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com>
Content-Type: text/plain; charset=utf-8; format=flowed
Content-Language: en-US
Content-Transfer-Encoding: 7bit
Message-ID: <16c6e837433bf91f$1$3234479$32dd386f@news.thecubenet.com>
Lines: 19
Path: i2pn2.org!i2pn.org!aioe.org!usenet.goja.nl.eu.org!2.eu.feeder.erje.net!feeder.erje.net!feeder1.feed.usenet.farm!feed.usenet.farm!tr3.eu1.usenetexpress.com!feeder.usenetexpress.com!tr3.iad1.usenetexpress.com!news.thecubenet.com!not-for-mail
NNTP-Posting-Date: Tue, 4 Jan 2022 00:00:38 +0000
X-Received-Bytes: 1385
Organization: theCubeNet - www.thecubenet.com
X-Complaints-To: abuse@thecubenet.com
 by: Clifford Heath - Tue, 4 Jan 2022 00:00 UTC

On 31/12/21 11:03 am, John Larkin wrote:
> On Thu, 30 Dec 2021 21:34:27 -0000, "Kevin Aylward"
> <kevinRemoveandReplaceATkevinaylward.co.uk> wrote:
>> Why anyone would use a discrete transistor stage today is indeed a
>> mystery...
>
> Some of the RF people are ashamed to admit they are selling
> transistors. They label the pins RF IN and RF OUT and GROUND.
>
> Sometimes a transistor is just what you need.

TBF most MMICs that have only a Darlington in the signal path also have
an op-amp circuit that controls the bias around the designed operating
current, and may also have impedance matching for the input & output.

Bias stabilisation is worth having on-die because they can
temperature-compensate it using the die temperature.

CH

Re: Gain

<sr47rq$1ng$1@dont-email.me>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=86808&group=sci.electronics.design#86808

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: Soph...@invalid.org (John S)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Wed, 5 Jan 2022 07:52:53 -0600
Organization: A noiseless patient Spider
Lines: 20
Message-ID: <sr47rq$1ng$1@dont-email.me>
References: <sql45f$7oa$1@dont-email.me>
<905efb96-61d6-7cdc-5d71-7639835c2390@electrooptical.net>
<Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com>
<e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com>
<d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net>
<jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com>
<cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net>
<3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com> <sqt0g4$90s$1@gioia.aioe.org>
<a4b6tg5f57upi1s1otadsnrjc38ulbh355@4ax.com>
<9b76111b-5229-a43d-1fea-597a7542dc12@electrooptical.net>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Wed, 5 Jan 2022 13:52:58 -0000 (UTC)
Injection-Info: reader02.eternal-september.org; posting-host="e01b049dcf27746bf704d580492c9c9b";
logging-data="1776"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX194GbyscIedBnKcYJe3S2AA"
User-Agent: Mozilla/5.0 (Windows NT 6.1; Win64; x64; rv:91.0) Gecko/20100101
Thunderbird/91.4.0
Cancel-Lock: sha1:JVgTLc4fvQy2+TzMiFryIzv4440=
In-Reply-To: <9b76111b-5229-a43d-1fea-597a7542dc12@electrooptical.net>
Content-Language: en-US
 by: John S - Wed, 5 Jan 2022 13:52 UTC

On 1/3/2022 2:56 PM, Phil Hobbs wrote:
> LM wrote:
>> On Sun, 2 Jan 2022 15:04:19 -0500, Phil Hobbs
>> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>>
>>> High performance front ends, not so much.  A couple of CPH3910s, or a
>>> SAV-551+ cascoded with a BFP640, can do things no available IC can
>>> touch, even with no high voltage requirement.
>> OT: There are no CPH3910s in stock at Digikey. First microcontrollers
>> and now this.
>>
>
> I have 30k of them in stock. ;)
>
> Cheers
>
> Phil Hobbs
>

And Mouser has over 1,000 in stock.

Re: Gain

<38pgtghmlj3sj4ccauft4ab67reqph9vbm@4ax.com>

  copy mid

https://www.novabbs.com/tech/article-flat.php?id=87062&group=sci.electronics.design#87062

  copy link   Newsgroups: sci.electronics.design
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: sala.n...@mail.com (LM)
Newsgroups: sci.electronics.design
Subject: Re: Gain
Date: Fri, 07 Jan 2022 18:08:41 +0200
Organization: A noiseless patient Spider
Lines: 23
Message-ID: <38pgtghmlj3sj4ccauft4ab67reqph9vbm@4ax.com>
References: <Zq-dnf4FFcd3uFP8nZ2dnUU7-IvNnZ2d@giganews.com> <e2gssgh9t0k41tgl27c7322vtog6lnmtk8@4ax.com> <d8c0a61d-5bc4-afe9-341d-c14edde51f63@electrooptical.net> <jjtusg991qji0lh973i10bulu7ib8vg3nt@4ax.com> <cc9440bd-b699-d7a7-6b96-d985572889b0@electrooptical.net> <3qqdnW2soaEWOU38nZ2dnUU7-QfNnZ2d@giganews.com> <sqt0g4$90s$1@gioia.aioe.org> <a4b6tg5f57upi1s1otadsnrjc38ulbh355@4ax.com> <9b76111b-5229-a43d-1fea-597a7542dc12@electrooptical.net> <sr47rq$1ng$1@dont-email.me>
Mime-Version: 1.0
Content-Type: text/plain; charset=ISO-8859-1
Content-Transfer-Encoding: 8bit
Injection-Info: reader02.eternal-september.org; posting-host="b54102168121ea630db3dc5d25c4d33b";
logging-data="14103"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX18MgslCZo2Anx3ZlArCfDMm"
Cancel-Lock: sha1:Y//i5yqc68mvBOnmNxotBsyGsA0=
X-Newsreader: Forte Free Agent 3.3/32.846
 by: LM - Fri, 7 Jan 2022 16:08 UTC

On Wed, 5 Jan 2022 07:52:53 -0600, John S <Sophi.2@invalid.org> wrote:

>On 1/3/2022 2:56 PM, Phil Hobbs wrote:
>> LM wrote:
>>> On Sun, 2 Jan 2022 15:04:19 -0500, Phil Hobbs
>>> <pcdhSpamMeSenseless@electrooptical.net> wrote:
>>>
>>>> High performance front ends, not so much.  A couple of CPH3910s, or a
>>>> SAV-551+ cascoded with a BFP640, can do things no available IC can
>>>> touch, even with no high voltage requirement.
>>> OT: There are no CPH3910s in stock at Digikey. First microcontrollers
>>> and now this.
>>>
>>
>> I have 30k of them in stock. ;)
>>
>> Cheers
>>
>> Phil Hobbs
>>
>
>And Mouser has over 1,000 in stock.
This comes late but Digikey has them with NSVJ3910SB3T1G name.

Pages:12
server_pubkey.txt

rocksolid light 0.9.81
clearnet tor