Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

Any sufficiently advanced technology is indistinguishable from a rigged demo.


devel / comp.arch / Re: Could we build a better 6502?

SubjectAuthor
* Could we build a better 6502?Thomas Koenig
+* Re: Could we build a better 6502?Quadibloc
|+* Re: Could we build a better 6502?John Levine
||+* Re: Could we build a better 6502?MitchAlsup
|||`* Re: Could we build a better 6502?aph
||| `* Re: Could we build a better 6502?Anton Ertl
|||  `* Re: Could we build a better 6502?MitchAlsup
|||   +- Re: Could we build a better 6502?Thomas Koenig
|||   +- Re: Could we build a better 6502?Anton Ertl
|||   `* Re: Could we build a better 6502?Quadibloc
|||    `* Re: Could we build a better 6502?Thomas Koenig
|||     +* Re: Could we build a better 6502?Brian G. Lucas
|||     |`* Re: Could we build a better 6502?Quadibloc
|||     | +- Re: Could we build a better 6502?Brian G. Lucas
|||     | `- Re: Could we build a better 6502?Anton Ertl
|||     +* Re: Could we build a better 6502?Stephen Fuld
|||     |+- Re: Could we build a better 6502?Terje Mathisen
|||     |`* Re: Could we build a better 6502?pec...@gmail.com
|||     | +* Re: Could we build a better 6502?MitchAlsup
|||     | |+* Re: Could we build a better 6502?pec...@gmail.com
|||     | ||`* Re: Could we build a better 6502?Stephen Fuld
|||     | || `- Re: Could we build a better 6502?pec...@gmail.com
|||     | |`* Re: Could we build a better 6502?Timothy McCaffrey
|||     | | +- Re: Could we build a better 6502?Michael Barry
|||     | | `* Re: Could we build a better 6502?Thomas Koenig
|||     | |  `* Re: Could we build a better 6502?Timothy McCaffrey
|||     | |   +* Re: Could we build a better 6502?pec...@gmail.com
|||     | |   |`* Re: Could we build a better 6502?Michael Barry
|||     | |   | `- Re: Could we build a better 6502?Thomas Koenig
|||     | |   `* Re: Could we build a better 6502?chris
|||     | |    `* Re: Could we build a better 6502?pec...@gmail.com
|||     | |     +* Re: Could we build a better 6502?MitchAlsup
|||     | |     |`- Re: Could we build a better 6502?Thomas Koenig
|||     | |     `* Re: Could we build a better 6502?chris
|||     | |      `* Re: Could we build a better 6502?George Neuner
|||     | |       `* Re: Could we build a better 6502?chris
|||     | |        +* Re: Could we build a better 6502?MitchAlsup
|||     | |        |`* Re: Could we build a better 6502?Thomas Koenig
|||     | |        | +- Re: Could we build a better 6502?Bernd Linsel
|||     | |        | `* Re: Could we build a better 6502?David Brown
|||     | |        |  `* Re: Could we build a better 6502?chris
|||     | |        |   `* Re: Could we build a better 6502?David Brown
|||     | |        |    `* Re: Could we build a better 6502?Terje Mathisen
|||     | |        |     `* Re: Could we build a better 6502?Thomas Koenig
|||     | |        |      `- Re: Could we build a better 6502?Terje Mathisen
|||     | |        `* Re: Could we build a better 6502?Al Grant
|||     | |         `- Re: Could we build a better 6502?chris
|||     | `* Re: Could we build a better 6502?Thomas Koenig
|||     |  +- Re: Could we build a better 6502?MitchAlsup
|||     |  +- Re: Could we build a better 6502?pec...@gmail.com
|||     |  +* Re: Could we build a better 6502?Thomas Koenig
|||     |  |+* Re: Could we build a better 6502?Stefan Monnier
|||     |  ||`* Re: Could we build a better 6502?Ivan Godard
|||     |  || `* Re: Could we build a better 6502?Stefan Monnier
|||     |  ||  `* Re: Could we build a better 6502?John Dallman
|||     |  ||   +- Re: Could we build a better 6502?Stefan Monnier
|||     |  ||   +* Re: Could we build a better 6502?pec...@gmail.com
|||     |  ||   |`- Re: Could we build a better 6502?Ivan Godard
|||     |  ||   `- Re: Could we build a better 6502?Stephen Fuld
|||     |  |`* Re: Could we build a better 6502?pec...@gmail.com
|||     |  | `* Re: Could we build a better 6502?Thomas Koenig
|||     |  |  `- Re: Could we build a better 6502?pec...@gmail.com
|||     |  `* Re: Could we build a better 6502?Thomas Koenig
|||     |   +* Re: Could we build a better 6502?Anton Ertl
|||     |   |+* Re: Could we build a better 6502?Thomas Koenig
|||     |   ||`* Re: Could we build a better 6502?pec...@gmail.com
|||     |   || `- Re: Could we build a better 6502?MitchAlsup
|||     |   |`* Re: Could we build a better 6502?David Schultz
|||     |   | +* Re: Could we build a better 6502?Anton Ertl
|||     |   | |`- Re: Could we build a better 6502?David Schultz
|||     |   | `* Re: Could we build a better 6502?MitchAlsup
|||     |   |  `* Re: Could we build a better 6502?pec...@gmail.com
|||     |   |   `- Re: Could we build a better 6502?MitchAlsup
|||     |   `- Re: Could we build a better 6502?MitchAlsup
|||     `* Re: Could we build a better 6502?Anton Ertl
|||      `* Re: Could we build a better 6502?Thomas Koenig
|||       `* Re: Could we build a better 6502?MitchAlsup
|||        +* Re: Could we build a better 6502?Marcus
|||        |+* Re: Could we build a better 6502?MitchAlsup
|||        ||`* Re: Could we build a better 6502?Thomas Koenig
|||        || `- Re: Could we build a better 6502?Anton Ertl
|||        |`- Re: Could we build a better 6502?Thomas Koenig
|||        `- Re: Could we build a better 6502?Thomas Koenig
||+* Re: Could we build a better 6502?Quadibloc
|||`- Re: Could we build a better PDP-8, was 6502?John Levine
||`- Re: Could we build a better 6502?Tim Rentsch
|`* Re: Could we build a better 6502?Quadibloc
| +* Re: Could we build a better 6502?Thomas Koenig
| |`* Re: Could we build a better 6502?Anton Ertl
| | `* Re: Could we build a better 6502?David Schultz
| |  `* Re: Could we build a better 6502?Brett
| |   `* Re: Could we build a better 6502?David Schultz
| |    `* Re: Could we build a better 6502?Brett
| |     `* Re: Could we build a better 6502?David Schultz
| |      `* Re: Could we build a better 6502?Brett
| |       `- Re: Could we build a better 6502?David Schultz
| +* Re: Could we build a better 6502?Stefan Monnier
| |`* Re: Could we build a better 6502?Thomas Koenig
| | +* Re: Could we build a better 6502?Stefan Monnier
| | |+* Re: Could we build a better 6502?MitchAlsup
| | ||`- Re: Could we build a better 6502?pec...@gmail.com
| | |`* Re: Could we build a better 6502?pec...@gmail.com
| | +- Re: Could we build a better 6502?MitchAlsup
| | `* Re: Could we build a better 6502?pec...@gmail.com
| `- Re: Could we build a better 6502?MitchAlsup
+* Re: Could we build a better 6502?Marcus
+* Re: Could we build a better 6502?MitchAlsup
+* Re: Could we build a better 6502?EricP
+* Re: Could we build a better 6502?Guillaume
+- Re: Could we build a better 6502?EricP
+* Re: Could we build a better 6502?Timothy McCaffrey
+- Re: Could we build a better 6502?JimBrakefield
+* Re: Could we build a better 6502?Anssi Saari
+* Re: Could we build a better 6502?John Dallman
+* Re: Could we build a better 6502?Anton Ertl
+* Re: Could we build a better 6502?Michael Barry
+* Re: Could we build a better 6502?pec...@gmail.com
+* Re: Could we build a better 6502?Bernd Linsel
+- Re: Could we build a better 6502?clamky
+* Re: Could we build a better 6502?Quadibloc
`- Re: Could we build a better 6502?Quadibloc

Pages:12345678910111213
Re: Could we build a better 6502?

<seo6no$s7q$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19636&group=comp.arch#19636

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 8 Aug 2021 09:04:56 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <seo6no$s7q$1@newsreader4.netcologne.de>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<sdhkr7$15vg$1@gal.iecc.com>
<7ba1c011-0d7a-40f3-b690-a729134ac9ecn@googlegroups.com>
<g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at>
<cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com>
<se1hvt$li9$1@newsreader4.netcologne.de>
<2021Jul31.110456@mips.complang.tuwien.ac.at>
<se3fb4$t22$1@newsreader4.netcologne.de>
<7340146b-e800-4e48-85a0-ac1783696022n@googlegroups.com>
<se41dk$rkk$1@dont-email.me>
<8a1025b1-822e-4bd4-a1eb-3f29db220984n@googlegroups.com>
Injection-Date: Sun, 8 Aug 2021 09:04:56 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd6:3b2f:0:7285:c2ff:fe6c:992d";
logging-data="28922"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 8 Aug 2021 09:04 UTC

MitchAlsup <MitchAlsup@aol.com> schrieb:
> On Saturday, July 31, 2021 at 12:31:34 PM UTC-5, Marcus wrote:
>> On 2021-07-31 18:48, MitchAlsup wrote:
>> > On Saturday, July 31, 2021 at 7:23:02 AM UTC-5, Thomas Koenig wrote:

>> >> The ALU should perform:
>> >>
>> >> - ADD, SUB, ADDC, SUBC
>> >> - OR, AND, NOT, XOR
>> >> - ROL, ROR, ASL
>> >>
>> >> The most expensive part is the adder (used as adder subtractor
>> >> of course). A 16-bit ripple-carry adder (have to leave _some_
>> >> room for improvement) including the xor is about 500 transistors
>> >>
>> >> Shift instructions are just wiring. AND, OR and NOT are cheap, for
>> >> XOR, the XOR from the adder/subtractor can be re-used.
>> > <
>> > Shift instructions require multiplexers.
>>
>> Are we talking about variable shifts, or 6502-style single-step shifts?
>>
>> The latter would only be wiring (one fixed wiring per shift opcode).
><
> You still need to select between the ROR, ROL, and ASL and that requires
> said multiplexer.

I've looked at this some more, and it has been done better in
the past.

Shift left can be done with an add with identical input (as it
was on the 6502). For shift right, you need a switch to the right
(again, as on the 6502). Handling carry is then just a few gates
on the fist and the last bit.

For retro-designing this kind of chip, it helps not to think about
the large muxes in textbooks, but rather of the ALU being made up
of individual one-bit units.

Re: Could we build a better 6502?

<seobee$vd2$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19637&group=comp.arch#19637

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 8 Aug 2021 10:25:18 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <seobee$vd2$1@newsreader4.netcologne.de>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<sdhkr7$15vg$1@gal.iecc.com>
<7ba1c011-0d7a-40f3-b690-a729134ac9ecn@googlegroups.com>
<g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at>
<cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com>
<se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me>
<ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<97d08afd-1f4b-4ae8-92cf-e11b1d17018cn@googlegroups.com>
<d8f66b34-5748-4205-b97b-5199386bd53fn@googlegroups.com>
<sebq08$ht9$1@newsreader4.netcologne.de>
<3344a3e4-cdbe-4bc3-aa08-4cfaf20e52f1n@googlegroups.com>
<sedrv8$1164$1@gioia.aioe.org>
<b085c32c-bda8-43e9-ab68-fe150e50a4c7n@googlegroups.com>
<9e877062-f6e7-44d4-9061-4677369f1f37n@googlegroups.com>
Injection-Date: Sun, 8 Aug 2021 10:25:18 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd6:3b2f:0:7285:c2ff:fe6c:992d";
logging-data="32162"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 8 Aug 2021 10:25 UTC

MitchAlsup <MitchAlsup@aol.com> schrieb:

> a) this was a design that prospered in the realm of embarrassing frugality,
> There is not a SINGLE flip-flop in the design, there is no clock tree, there is
> no scan path,...........it is delicious in its simplicity.

Agreed.

><
> b) modern tools know nothing of this realm and impose a huge burden on
> designs targeting this corner of the design space.

If by modern tools you mean the tools that people use for CPU design,
agreed. However, there are tools which are more suitable for a
gate- or transistor-level design, and the low number of transistors
makes this feasible at least down to the transistor, less for the
actual chip design.

> c) the concept that we, who have exploited billions of transistors, could perform
> well in the ares of a handful of thousands of transistors is ludicrous. That we
> who have dozens of metal layers could "do" a design with only 1 is also ludicrous.
> No modern "tool" software can operate in this realm

> d) Modern design software (Verilog, simulators, FPGA breadboarding)
> is all designed to help those with industrial scale issues, not those of
> "significantly smaller than a modern hobbiest"

See above - designing something in the 6502 or Z80 league seems doable.

><
> I take my hat off to them; And give them a well deserved bow !

Agreed.

Re: Could we build a better 6502?

<seoeb8$1ru$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19638&group=comp.arch#19638

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 8 Aug 2021 11:14:48 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <seoeb8$1ru$1@newsreader4.netcologne.de>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<sdhkr7$15vg$1@gal.iecc.com>
<7ba1c011-0d7a-40f3-b690-a729134ac9ecn@googlegroups.com>
<g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at>
<cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com>
<se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me>
<ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<se44rr$bjk$4@newsreader4.netcologne.de>
Injection-Date: Sun, 8 Aug 2021 11:14:48 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd6:3b2f:0:7285:c2ff:fe6c:992d";
logging-data="1918"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 8 Aug 2021 11:14 UTC

Thomas Koenig <tkoenig@netcologne.de> schrieb:
> pec...@gmail.com <peceed@gmail.com> schrieb:
>
>>> Yes. With only an 8 bit bus, every instruction will require two memory
>>> reads to fetch the instruction, which will substantially hurt
>>> performance. 8088 anyone? :-(
>> 6502 fetches 2 bytes per instruction anyway, so what is the problem?
>
> Still awkward, like I said, such a design screams for a 16-bit
> data bus.
>
> [...]
>
>> There is no 2r1w register file (too expensive), so processor needs much higher internal clock like Z80.
>
> 2r1w seems doable,

I took a look at this now.

It would be doable to use the basic design of the Z80 registers
which as been described at
http://www.righto.com/2014/10/how-z80s-registers-are-implemented-down.html

So, two inverters (one transistor and one depletion load transistor
each), plus two pass transistors from the positive and negative side
for driving them. The Z80, like the Intel designs at the time,
simply used bigger transistors to overrule the inverters. So,
six transistors per bit plus the driving logic. Add another pass
transistor per bit for a second, read-only bus, and you're up to 7.

Having 16 16-bit registers is also not out of this world. With its
register pairs, the Z80 had 14 pairs of 8-bit registers, so it
was quite possible to fit that many registers into the technology
of the era.

Re: Could we build a better 6502?

<2021Aug8.150345@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19639&group=comp.arch#19639

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 08 Aug 2021 13:03:45 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 15
Distribution: world
Message-ID: <2021Aug8.150345@mips.complang.tuwien.ac.at>
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com> <2021Jul27.194213@mips.complang.tuwien.ac.at> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com> <868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com> <se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de>
Injection-Info: reader02.eternal-september.org; posting-host="bac5d33f036bd1af4573fc3980699230";
logging-data="29152"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/XOhJQfMWw8iTwM/UNZyR9"
Cancel-Lock: sha1:oN/CWyWgIoSXxiN9X4QB8sGJJpA=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Sun, 8 Aug 2021 13:03 UTC

Thomas Koenig <tkoenig@netcologne.de> writes:
>Having 16 16-bit registers is also not out of this world. With its
>register pairs, the Z80 had 14 pairs of 8-bit registers, so it
>was quite possible to fit that many registers into the technology
>of the era.

The Z80 has 8500 transistors, pretty far from the target you set
earlier. The Z80 was also "introduced to the market" in July 1976,
while MOS sold the 6502 at the time of the Wescon in September 1975,
10 months earlier.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: Could we build a better 6502?

<seoms7$7k3$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19640&group=comp.arch#19640

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!paganini.bofh.team!news.dns-netz.com!news.freedyn.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 8 Aug 2021 13:40:23 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <seoms7$7k3$1@newsreader4.netcologne.de>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at>
<cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com>
<se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me>
<ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<se44rr$bjk$4@newsreader4.netcologne.de>
<seoeb8$1ru$1@newsreader4.netcologne.de>
<2021Aug8.150345@mips.complang.tuwien.ac.at>
Injection-Date: Sun, 8 Aug 2021 13:40:23 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd6:3b2f:0:7285:c2ff:fe6c:992d";
logging-data="7811"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 8 Aug 2021 13:40 UTC

Anton Ertl <anton@mips.complang.tuwien.ac.at> schrieb:
> Thomas Koenig <tkoenig@netcologne.de> writes:
>>Having 16 16-bit registers is also not out of this world. With its
>>register pairs, the Z80 had 14 pairs of 8-bit registers, so it
>>was quite possible to fit that many registers into the technology
>>of the era.
>
> The Z80 has 8500 transistors, pretty far from the target you set
> earlier.

That is, of course, correct.

> The Z80 was also "introduced to the market" in July 1976,
> while MOS sold the 6502 at the time of the Wescon in September 1975,
> 10 months earlier.

Hm... I think I will continue to explore a bit more (in other words,
play around with Digital and see how many transistors I end up
with using parts of a reasonable micro-architecture).

Re: Could we build a better 6502?

<Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19642&group=comp.arch#19642

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.snarked.org!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!buffer1.nntp.dca1.giganews.com!nntp.earthlink.com!news.earthlink.com.POSTED!not-for-mail
NNTP-Posting-Date: Sun, 08 Aug 2021 09:29:43 -0500
Subject: Re: Could we build a better 6502?
Newsgroups: comp.arch
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at>
<cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com>
<se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me>
<ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<se44rr$bjk$4@newsreader4.netcologne.de>
<seoeb8$1ru$1@newsreader4.netcologne.de>
<2021Aug8.150345@mips.complang.tuwien.ac.at>
From: david.sc...@earthlink.net (David Schultz)
Date: Sun, 8 Aug 2021 09:29:39 -0500
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:78.0) Gecko/20100101
Thunderbird/78.11.0
MIME-Version: 1.0
In-Reply-To: <2021Aug8.150345@mips.complang.tuwien.ac.at>
Content-Type: text/plain; charset=utf-8
Content-Language: en-US
Content-Transfer-Encoding: 7bit
Message-ID: <Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com>
Lines: 25
X-Usenet-Provider: http://www.giganews.com
NNTP-Posting-Host: 108.194.109.171
X-Trace: sv3-OzXLKO4CDAwoWLYNF4XL/6Xi/NSlzOAaS59TkqpyB/CkP7KASqput8sTOI5/5z1+ACg1zsAw7arkjHA!a34YbIRgRaw7StuKcg3YVpnWn9QSB6nENO/+m8ZQMGlTDOu7bpqM3dgGzmakYBw3X7n9S7ntwcMU!GFVd3RqXSaVS3CtbSUwu8n7YhlnAe31sqg==
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 2504
 by: David Schultz - Sun, 8 Aug 2021 14:29 UTC

On 8/8/21 8:03 AM, Anton Ertl wrote:
> Thomas Koenig <tkoenig@netcologne.de> writes:
>> Having 16 16-bit registers is also not out of this world. With its
>> register pairs, the Z80 had 14 pairs of 8-bit registers, so it
>> was quite possible to fit that many registers into the technology
>> of the era.
>
> The Z80 has 8500 transistors, pretty far from the target you set
> earlier. The Z80 was also "introduced to the market" in July 1976,
> while MOS sold the 6502 at the time of the Wescon in September 1975,
> 10 months earlier.
>
> - anton
>

The 1802 of course had 16 16-bit registers and appeared at about the
same time. Earlier if you count the two chip 1801.

I am not sure what the transistor count was (do I/O pads count?) but it
saved a few by using a 1 bit ALU.

--
http://davesrocketworks.com
David Schultz

Re: Could we build a better 6502?

<2021Aug8.163241@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19643&group=comp.arch#19643

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 08 Aug 2021 14:32:41 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 27
Distribution: world
Message-ID: <2021Aug8.163241@mips.complang.tuwien.ac.at>
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com> <868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de> <2021Jul31.110456@mips.complang.tuwien.ac.at> <se3fb4$t22$1@newsreader4.netcologne.de> <7340146b-e800-4e48-85a0-ac1783696022n@googlegroups.com> <se41dk$rkk$1@dont-email.me> <8a1025b1-822e-4bd4-a1eb-3f29db220984n@googlegroups.com> <seo6no$s7q$1@newsreader4.netcologne.de>
Injection-Info: reader02.eternal-september.org; posting-host="bac5d33f036bd1af4573fc3980699230";
logging-data="16840"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX183mwzTOdaVvrtwlZi9WHHm"
Cancel-Lock: sha1:GXKlvX2rkU/3wabbg741pHMuGuo=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Sun, 8 Aug 2021 14:32 UTC

Thomas Koenig <tkoenig@netcologne.de> writes:
>MitchAlsup <MitchAlsup@aol.com> schrieb:
>> You still need to select between the ROR, ROL, and ASL and that requires
>> said multiplexer.
>
>I've looked at this some more, and it has been done better in
>the past.
>
>Shift left can be done with an add with identical input (as it
>was on the 6502).

And ROL is ADC with identical input (yes, 6502 ROL is what is RCL in
IA-32/AMD64).

>For shift right, you need a switch to the right
>(again, as on the 6502).

A switch?

You certainly need some way to mux the outputs between this shift
right and the rest of the ALU, although I guess a MUX in NMOS is not
that expensive.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: Could we build a better 6502?

<e7dab7a2-1e2e-434e-833a-57740860ade8n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19647&group=comp.arch#19647

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:88c:: with SMTP id b12mr1784102qka.483.1628438703920;
Sun, 08 Aug 2021 09:05:03 -0700 (PDT)
X-Received: by 2002:a05:6830:30a2:: with SMTP id g2mr11517466ots.206.1628438703726;
Sun, 08 Aug 2021 09:05:03 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 8 Aug 2021 09:05:03 -0700 (PDT)
In-Reply-To: <seoeb8$1ru$1@newsreader4.netcologne.de>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:1de5:284a:9e56:52df;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:1de5:284a:9e56:52df
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<sdhkr7$15vg$1@gal.iecc.com> <7ba1c011-0d7a-40f3-b690-a729134ac9ecn@googlegroups.com>
<g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com> <2021Jul27.194213@mips.complang.tuwien.ac.at>
<cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com> <868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com>
<se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me>
<ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com> <se44rr$bjk$4@newsreader4.netcologne.de>
<seoeb8$1ru$1@newsreader4.netcologne.de>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e7dab7a2-1e2e-434e-833a-57740860ade8n@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sun, 08 Aug 2021 16:05:03 +0000
Content-Type: text/plain; charset="UTF-8"
 by: MitchAlsup - Sun, 8 Aug 2021 16:05 UTC

On Sunday, August 8, 2021 at 6:14:51 AM UTC-5, Thomas Koenig wrote:
> Thomas Koenig <tko...@netcologne.de> schrieb:
> > pec...@gmail.com <pec...@gmail.com> schrieb:
> >
> >>> Yes. With only an 8 bit bus, every instruction will require two memory
> >>> reads to fetch the instruction, which will substantially hurt
> >>> performance. 8088 anyone? :-(
> >> 6502 fetches 2 bytes per instruction anyway, so what is the problem?
> >
> > Still awkward, like I said, such a design screams for a 16-bit
> > data bus.
> >
> > [...]
> >
> >> There is no 2r1w register file (too expensive), so processor needs much higher internal clock like Z80.
> >
> > 2r1w seems doable,
>
> I took a look at this now.
>
> It would be doable to use the basic design of the Z80 registers
> which as been described at
> http://www.righto.com/2014/10/how-z80s-registers-are-implemented-down.html
>
> So, two inverters (one transistor and one depletion load transistor
> each), plus two pass transistors from the positive and negative side
> for driving them. The Z80, like the Intel designs at the time,
> simply used bigger transistors to overrule the inverters. So,
> six transistors per bit plus the driving logic. Add another pass
> transistor per bit for a second, read-only bus, and you're up to 7.
<
The cell described is a typical SAM cell (depletion style). The read
port read port requires 2 transistors, one to the true bus and one to
complement bus. Both buses (on a read) are precharged high and
one of the pass transistors pulls one of the buses down. A sense
amplifier detects the movement of the bus voltage and amplifies
it into a solid logic signal.
<
Adding a second port requires 2 more wires (true and complement)
and 2 more pass transistors.
<
Also note: a write is performed when the bus is driven (hi-low or low-hi)
and the pass gate driven high. The port is bidirectional.
<
If the bus is driven low-low and the pass gate asserted, it is likely
that the SRAM cell will self destruct as both side fight each other
for a logic value.
>
> Having 16 16-bit registers is also not out of this world. With its
> register pairs, the Z80 had 14 pairs of 8-bit registers, so it
> was quite possible to fit that many registers into the technology
> of the era.

Re: Could we build a better 6502?

<acec27dd-456e-41a7-9db0-0f269dc77f24n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19657&group=comp.arch#19657

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:6af:: with SMTP id s15mr3054134qvz.52.1628446360106; Sun, 08 Aug 2021 11:12:40 -0700 (PDT)
X-Received: by 2002:aca:b656:: with SMTP id g83mr4887145oif.84.1628446359836; Sun, 08 Aug 2021 11:12:39 -0700 (PDT)
Path: i2pn2.org!i2pn.org!news.uzoreto.com!tr1.eu1.usenetexpress.com!feeder.usenetexpress.com!tr3.iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 8 Aug 2021 11:12:39 -0700 (PDT)
In-Reply-To: <seoms7$7k3$1@newsreader4.netcologne.de>
Injection-Info: google-groups.googlegroups.com; posting-host=5.173.40.195; posting-account=zjh_fgoAAABo0Nzgf6peaFtS6c-3xdgr
NNTP-Posting-Host: 5.173.40.195
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com> <2021Jul27.194213@mips.complang.tuwien.ac.at> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com> <868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com> <se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de> <2021Aug8.150345@mips.complang.tuwien.ac.at> <seoms7$7k3$1@newsreader4.netcologne.de>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <acec27dd-456e-41a7-9db0-0f269dc77f24n@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: pec...@gmail.com (pec...@gmail.com)
Injection-Date: Sun, 08 Aug 2021 18:12:40 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 21
 by: pec...@gmail.com - Sun, 8 Aug 2021 18:12 UTC

Thomas Koenig wrote:
> > The Z80 has 8500 transistors, pretty far from the target you set
> > earlier.
> That is, of course, correct.
And 4 times more registers and about 3 times the die area in feature size units.
There are problems with better 6502:
1) The 6502 was designed as the cheapest possible processor for the embedded systems market with very window of opportunity. In this market, the lack of software was not a problem. We want to improve it in the context of the microcomputer market, which was not there in 1975.
2) The Z80 is designed to be the best processor in terms of reusing existing software and ease of programming.
3) Moore's Law was galloping. Any initial cost advantage was exponentially shrinking. A few years later, the Z80 and 6502 were sold at the same retail price.
3) The problem you really want to solve is "the best possible processor to start and dominate the microcomputer revolution." This chip must be preferred by Steve Wozniak over the 6502 and available at the time of the Apple I development.
Beware, doubling the die size will make the chip 3 times more expensive (70% yield for the 6502) - when it matters most.

Re: Could we build a better 6502?

<b01bf41a-c927-40d2-956f-e76db6130444n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19660&group=comp.arch#19660

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:6611:: with SMTP id c17mr16984254qtp.392.1628449577218;
Sun, 08 Aug 2021 12:06:17 -0700 (PDT)
X-Received: by 2002:a05:6830:929:: with SMTP id v41mr13924993ott.16.1628449576984;
Sun, 08 Aug 2021 12:06:16 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 8 Aug 2021 12:06:16 -0700 (PDT)
In-Reply-To: <acec27dd-456e-41a7-9db0-0f269dc77f24n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:1de5:284a:9e56:52df;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:1de5:284a:9e56:52df
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de>
<se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de>
<2021Aug8.150345@mips.complang.tuwien.ac.at> <seoms7$7k3$1@newsreader4.netcologne.de>
<acec27dd-456e-41a7-9db0-0f269dc77f24n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <b01bf41a-c927-40d2-956f-e76db6130444n@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sun, 08 Aug 2021 19:06:17 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: MitchAlsup - Sun, 8 Aug 2021 19:06 UTC

On Sunday, August 8, 2021 at 1:12:41 PM UTC-5, pec...@gmail.com wrote:
> Thomas Koenig wrote:
> > > The Z80 has 8500 transistors, pretty far from the target you set
> > > earlier.
> > That is, of course, correct.
> And 4 times more registers and about 3 times the die area in feature size units.
> There are problems with better 6502:
> 1) The 6502 was designed as the cheapest possible processor for the embedded systems market with very window of opportunity. In this market, the lack of software was not a problem. We want to improve it in the context of the microcomputer market, which was not there in 1975.
> 2) The Z80 is designed to be the best processor in terms of reusing existing software and ease of programming.
> 3) Moore's Law was galloping. Any initial cost advantage was exponentially shrinking. A few years later, the Z80 and 6502 were sold at the same retail price.
<
When 6502 was originally brought out it was die cost limited.
By the time Z80 caught up ($$$) both were package cost limited.
<
This is the funny thing about More's law and the packaging of semiconductors. The initial cost is
dominated by die cost (design, manufacture, yield, testing} while the cost several years down the
road has seen the die cost decrease by something like a factor of 4× wile the cost to put it in a
package and the cost of the package itself have gone down only 10%.
<
> 3) The problem you really want to solve is "the best possible processor to start and dominate the microcomputer revolution." This chip must be preferred by Steve Wozniak over the 6502 and available at the time of the Apple I development.
> Beware, doubling the die size will make the chip 3 times more expensive (70% yield for the 6502) - when it matters most.

Re: Could we build a better 6502?

<2021Aug8.232009@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19667&group=comp.arch#19667

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 08 Aug 2021 21:20:09 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 32
Message-ID: <2021Aug8.232009@mips.complang.tuwien.ac.at>
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com> <868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com> <se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de> <2021Aug8.150345@mips.complang.tuwien.ac.at> <Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com>
Injection-Info: reader02.eternal-september.org; posting-host="bac5d33f036bd1af4573fc3980699230";
logging-data="5055"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/rjt38uSprUsNb03OT+MsH"
Cancel-Lock: sha1:iyo0CwFgFJqY6UyC9z2VU5YXEAc=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Sun, 8 Aug 2021 21:20 UTC

David Schultz <david.schultz@earthlink.net> writes:
>On 8/8/21 8:03 AM, Anton Ertl wrote:
>> The Z80 has 8500 transistors, pretty far from the target you set
>> earlier.

6,813 without the pull-up transistors (and the 6502 has 4528 with such
transistors).

>The 1802 of course had 16 16-bit registers and appeared at about the
>same time. Earlier if you count the two chip 1801.
>
>I am not sure what the transistor count was (do I/O pads count?) but it
>saved a few by using a 1 bit ALU.

According to
<https://en.wikipedia.org/wiki/Transistor_count#Microprocessors>, it
has 5000 transistors. It is also a CMOS CPU, which uses significantly
more transistors than equivalent NMOS circuits.

The process and die size metrics are also interesting:
proc size 8um equiv
6502 8um 21mm^2 21mm^2
1802 5um 27mm^2 69mm^2
Z80 4um 18mm^2 72mm^2

The 8um equiv numbers assume that each dimension scales as the process
size indicates.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: Could we build a better 6502?

<846dneti7d8OzI38nZ2dnUU7-KXNnZ2d@earthlink.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19669&group=comp.arch#19669

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!news.swapon.de!news.dns-netz.com!news.freedyn.net!newsfeed.xs4all.nl!newsfeed9.news.xs4all.nl!tr3.eu1.usenetexpress.com!feeder.usenetexpress.com!tr1.iad1.usenetexpress.com!border1.nntp.dca1.giganews.com!nntp.giganews.com!buffer1.nntp.dca1.giganews.com!nntp.earthlink.com!news.earthlink.com.POSTED!not-for-mail
NNTP-Posting-Date: Sun, 08 Aug 2021 16:50:11 -0500
Subject: Re: Could we build a better 6502?
Newsgroups: comp.arch
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com> <868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com> <se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de> <2021Aug8.150345@mips.complang.tuwien.ac.at> <Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com> <2021Aug8.232009@mips.complang.tuwien.ac.at>
From: david.sc...@earthlink.net (David Schultz)
Date: Sun, 8 Aug 2021 16:50:10 -0500
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:78.0) Gecko/20100101 Thunderbird/78.11.0
MIME-Version: 1.0
In-Reply-To: <2021Aug8.232009@mips.complang.tuwien.ac.at>
Content-Type: text/plain; charset=utf-8
Content-Language: en-US
Content-Transfer-Encoding: 7bit
Message-ID: <846dneti7d8OzI38nZ2dnUU7-KXNnZ2d@earthlink.com>
Lines: 18
X-Usenet-Provider: http://www.giganews.com
NNTP-Posting-Host: 108.194.109.171
X-Trace: sv3-Jy92BiQj9K47B6bGuXeYxa9c8fi3DWZhvrAw7Okp7g9FCcdWMKO3Nm/VuuY5UhiZqfLXMg2kvTPTEDX!wUxyjhGWHjlBg3z49oBDTsOdpBwl1LpzfutjudYBVsExyOZanbg8/adbv2V3OiRV3XiIab4GPhWA!R5fudc8idfBvSvFnDQHVJ6JdoD6OV48sZA==
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 2118
 by: David Schultz - Sun, 8 Aug 2021 21:50 UTC

On 8/8/21 4:20 PM, Anton Ertl wrote:

> The process and die size metrics are also interesting:
> proc size 8um equiv
> 6502 8um 21mm^2 21mm^2
> 1802 5um 27mm^2 69mm^2
> Z80 4um 18mm^2 72mm^2

The original CDP1802 used a 6um process while the later CDP1802A
switched to 5um. Chip size went from 6.0mm X 4.4mm to 4.8mm X 3.5mm.

The IEEE article I pulled those numbers from says 5,500 transistors.

--
http://davesrocketworks.com
David Schultz

Re: Could we build a better 6502?

<c7bba705-a768-4970-8f0a-5d43636bf4e9n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19672&group=comp.arch#19672

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:1349:: with SMTP id f9mr17524655qtj.16.1628463444017;
Sun, 08 Aug 2021 15:57:24 -0700 (PDT)
X-Received: by 2002:a05:6808:6cc:: with SMTP id m12mr22094495oih.51.1628463443780;
Sun, 08 Aug 2021 15:57:23 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 8 Aug 2021 15:57:23 -0700 (PDT)
In-Reply-To: <Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:1de5:284a:9e56:52df;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:1de5:284a:9e56:52df
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de>
<se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de>
<2021Aug8.150345@mips.complang.tuwien.ac.at> <Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <c7bba705-a768-4970-8f0a-5d43636bf4e9n@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sun, 08 Aug 2021 22:57:24 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: MitchAlsup - Sun, 8 Aug 2021 22:57 UTC

On Sunday, August 8, 2021 at 9:29:50 AM UTC-5, David Schultz wrote:
> On 8/8/21 8:03 AM, Anton Ertl wrote:
> > Thomas Koenig <tko...@netcologne.de> writes:
> >> Having 16 16-bit registers is also not out of this world. With its
> >> register pairs, the Z80 had 14 pairs of 8-bit registers, so it
> >> was quite possible to fit that many registers into the technology
> >> of the era.
> >
> > The Z80 has 8500 transistors, pretty far from the target you set
> > earlier. The Z80 was also "introduced to the market" in July 1976,
> > while MOS sold the 6502 at the time of the Wescon in September 1975,
> > 10 months earlier.
> >
> > - anton
> >
> The 1802 of course had 16 16-bit registers and appeared at about the
> same time. Earlier if you count the two chip 1801.
>
> I am not sure what the transistor count was (do I/O pads count?) but it
> saved a few by using a 1 bit ALU.
<
I/O pads are an interesting "counting" problem back in the 2+µ days.
<
a) do you count the transistors and diodes used to protect the pads
from electrostatic discharges?
b) you you count the pull down transistor as 1 transistor or as the
8 transistors wired up to perform as 1 ?
c) same as b but for the P-channels or depletion devices ?
<
So you could get a small number like 2-4 or a large number like 32-40
depending on what you consider a transistor.
>
>
> --
> http://davesrocketworks.com
> David Schultz

Re: Could we build a better 6502?

<6f35178a-2f86-43cc-9367-c38c9c0b7854n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19678&group=comp.arch#19678

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:57c4:: with SMTP id w4mr17744245qta.39.1628467386193;
Sun, 08 Aug 2021 17:03:06 -0700 (PDT)
X-Received: by 2002:a9d:470f:: with SMTP id a15mr7970258otf.76.1628467385987;
Sun, 08 Aug 2021 17:03:05 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 8 Aug 2021 17:03:05 -0700 (PDT)
In-Reply-To: <c7bba705-a768-4970-8f0a-5d43636bf4e9n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=5.173.40.195; posting-account=zjh_fgoAAABo0Nzgf6peaFtS6c-3xdgr
NNTP-Posting-Host: 5.173.40.195
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de>
<se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de>
<2021Aug8.150345@mips.complang.tuwien.ac.at> <Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com>
<c7bba705-a768-4970-8f0a-5d43636bf4e9n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <6f35178a-2f86-43cc-9367-c38c9c0b7854n@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: pec...@gmail.com (pec...@gmail.com)
Injection-Date: Mon, 09 Aug 2021 00:03:06 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: pec...@gmail.com - Mon, 9 Aug 2021 00:03 UTC

MitchAlsup wrote:
> I/O pads are an interesting "counting" problem back in the 2+µ days.
Is "2 + µ" about layers?
As I understand, pads have no process scalability and they waste a lot of potential logic area when only 2 connection layers are available, so I have few questions:
Could MOS Tech simply add yet another layer without prohibitive R&D cost, or was MOS inherently restricted to 1 metallic layer?
Do you remember when more advanced processes with more metallization layers were introduced?

Re: Could we build a better 6502?

<0bde43e9-f49e-4474-809b-7d2e68494ecan@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19680&group=comp.arch#19680

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a37:7141:: with SMTP id m62mr20785570qkc.496.1628469236420;
Sun, 08 Aug 2021 17:33:56 -0700 (PDT)
X-Received: by 2002:a54:4194:: with SMTP id 20mr3104596oiy.78.1628469236225;
Sun, 08 Aug 2021 17:33:56 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 8 Aug 2021 17:33:56 -0700 (PDT)
In-Reply-To: <6f35178a-2f86-43cc-9367-c38c9c0b7854n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:1de5:284a:9e56:52df;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:1de5:284a:9e56:52df
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <g6Odnbe33KUHVWL9nZ2dnUU78U_NnZ2d@supernews.com>
<2021Jul27.194213@mips.complang.tuwien.ac.at> <cee262df-cecf-4111-a213-6341934e564dn@googlegroups.com>
<868dc60e-0882-44af-a545-c875f59553f3n@googlegroups.com> <se1hvt$li9$1@newsreader4.netcologne.de>
<se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com>
<se44rr$bjk$4@newsreader4.netcologne.de> <seoeb8$1ru$1@newsreader4.netcologne.de>
<2021Aug8.150345@mips.complang.tuwien.ac.at> <Qv2dnfgtf6vKd5L8nZ2dnUU7-LHNnZ2d@earthlink.com>
<c7bba705-a768-4970-8f0a-5d43636bf4e9n@googlegroups.com> <6f35178a-2f86-43cc-9367-c38c9c0b7854n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <0bde43e9-f49e-4474-809b-7d2e68494ecan@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Mon, 09 Aug 2021 00:33:56 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: MitchAlsup - Mon, 9 Aug 2021 00:33 UTC

On Sunday, August 8, 2021 at 7:03:07 PM UTC-5, pec...@gmail.com wrote:
> MitchAlsup wrote:
> > I/O pads are an interesting "counting" problem back in the 2+µ days.
> Is "2 + µ" about layers?
<
It is about the lithography. 2+µ means everything bigger then 2µ
<
> As I understand, pads have no process scalability and they waste a lot of potential logic area when only 2 connection layers are available, so I have few questions:
> Could MOS Tech simply add yet another layer without prohibitive R&D cost, or was MOS inherently restricted to 1 metallic layer?
<
It was all about FAB technology.
<
> Do you remember when more advanced processes with more metallization layers were introduced?
<
In/at Motorola::
2.5µ: n-channel and depletion devices, 1 metal layer no silicide.
2.0µ: n-channel, p-channel, depletion devices, 1 metal layer, silicide..
1.5µ: n-channel, p-channel, 2 metal, silicide.
1.25µ: mostly a shrink of 1.5µ, a titanium base metal layer was allowed; so almost a 3M process.
1.0µ: n-channel, p-channel, 3 metal, salicide.
0.65µ: shrink of 1.0µ
0.5µ: n-channel, p-channel, bipolar transistors & diodes, 4 metal, salicide

silicide was a low resist metal diffusion added to polysilicon changing the poly from 500 Ohms/sq to 50 ohm/sq
salicide was a low resist metal diffusion added to both polysilicon and to crystal silicon reducing poly resistivity to 50 ohm/sq and crystalline resistance to ~100 ohm/sq.

It took a couple of generations to work out how to properly form the vias (barrier metals, current densities) before metal became "as many as you are willing to pay for". But basically anything after 0.5µ falls into that camp. {also note: while aluminum is a rather clean FAB substance, copper is a very nasty substance for the FAB.}

Re: Could we build a better 6502?

<b6d143e3-704c-4dbd-9b7b-a4aba9fa1ae0n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19690&group=comp.arch#19690

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:764e:: with SMTP id i14mr19611462qtr.247.1628506466812;
Mon, 09 Aug 2021 03:54:26 -0700 (PDT)
X-Received: by 2002:aca:2117:: with SMTP id 23mr2175234oiz.0.1628506466522;
Mon, 09 Aug 2021 03:54:26 -0700 (PDT)
Path: i2pn2.org!i2pn.org!paganini.bofh.team!usenet.pasdenom.info!usenet-fr.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Mon, 9 Aug 2021 03:54:26 -0700 (PDT)
In-Reply-To: <sehqk6$la0$1@gioia.aioe.org>
Injection-Info: google-groups.googlegroups.com; posting-host=86.136.0.238; posting-account=NkMcCAoAAABs23KArpYPXpvZx_NTJUQe
NNTP-Posting-Host: 86.136.0.238
References: <se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me>
<ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com> <97d08afd-1f4b-4ae8-92cf-e11b1d17018cn@googlegroups.com>
<d8f66b34-5748-4205-b97b-5199386bd53fn@googlegroups.com> <sebq08$ht9$1@newsreader4.netcologne.de>
<3344a3e4-cdbe-4bc3-aa08-4cfaf20e52f1n@googlegroups.com> <sedrv8$1164$1@gioia.aioe.org>
<b085c32c-bda8-43e9-ab68-fe150e50a4c7n@googlegroups.com> <sef71f$v5s$1@gioia.aioe.org>
<r9tnggdei7s8aot5kldfbrf43ak1jo28n3@4ax.com> <sehqk6$la0$1@gioia.aioe.org>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <b6d143e3-704c-4dbd-9b7b-a4aba9fa1ae0n@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: algrant...@gmail.com (Al Grant)
Injection-Date: Mon, 09 Aug 2021 10:54:26 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Al Grant - Mon, 9 Aug 2021 10:54 UTC

On Friday, August 6, 2021 at 12:01:29 AM UTC+1, chris wrote:
> You saw far more in the wdc effort than I did and the same for
> the Manx C complier. 6502 was off the shelf here in the uk, well
> supported and understood, while wdc was far away and don't
> remember if there was even a uk agent for the devices. Iirc, I
> did a grey import to the uk for Manx C, probably after seeing
> it advertised in Byte mag, and also having been fired up by
> things like the AT&T programmers workbench report, blue book,
> which seemed like another world. Still an asm programmer, didn't
> really have the knowledge base at the time to make best use of
> it, wasn't impressed with the code it produced and eventually
> gave up.

To be fair, the competition was often not hand-written assembly
but interpreted BASIC. I worked with Apple IIs on production
lines. Everything was BASIC for maintainability and portability.
We used Microsoft's Applesoft BASIC compiler (TASC) and got
a significant speedup, although not a huge one given that
multi-byte arithmetic, floating-point, strings, I/O etc. called into
a runtime library, just as it did when interpreted.

Of course hand-written assembly would have been faster and
smaller, but for those applications it wouldn't have made sense
for all sorts of reasons.

Re: Could we build a better 6502?

<sesaac$1gvl$1@gioia.aioe.org>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19700&group=comp.arch#19700

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!aioe.org!jazQyxryRFiI4FEZ51SAvA.user.46.165.242.75.POSTED!not-for-mail
From: chris-no...@tridac.net (chris)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Mon, 09 Aug 2021 23:30:36 +0100
Organization: Aioe.org NNTP Server
Message-ID: <sesaac$1gvl$1@gioia.aioe.org>
References: <se1hvt$li9$1@newsreader4.netcologne.de> <se2o7s$uis$1@dont-email.me> <ed3ba617-1238-4dcb-9829-a8cea7065ec5n@googlegroups.com> <97d08afd-1f4b-4ae8-92cf-e11b1d17018cn@googlegroups.com> <d8f66b34-5748-4205-b97b-5199386bd53fn@googlegroups.com> <sebq08$ht9$1@newsreader4.netcologne.de> <3344a3e4-cdbe-4bc3-aa08-4cfaf20e52f1n@googlegroups.com> <sedrv8$1164$1@gioia.aioe.org> <b085c32c-bda8-43e9-ab68-fe150e50a4c7n@googlegroups.com> <sef71f$v5s$1@gioia.aioe.org> <r9tnggdei7s8aot5kldfbrf43ak1jo28n3@4ax.com> <sehqk6$la0$1@gioia.aioe.org> <b6d143e3-704c-4dbd-9b7b-a4aba9fa1ae0n@googlegroups.com>
Mime-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 7bit
Injection-Info: gioia.aioe.org; logging-data="50165"; posting-host="jazQyxryRFiI4FEZ51SAvA.user.gioia.aioe.org"; mail-complaints-to="abuse@aioe.org";
User-Agent: Mozilla/5.0 (X11; SunOS sun4u; rv:10.0.2) Gecko/20120216 Thunderbird/10.0.2
X-Notice: Filtered by postfilter v. 0.9.2
 by: chris - Mon, 9 Aug 2021 22:30 UTC

On 08/09/21 11:54, Al Grant wrote:
> On Friday, August 6, 2021 at 12:01:29 AM UTC+1, chris wrote:
>> You saw far more in the wdc effort than I did and the same for
>> the Manx C complier. 6502 was off the shelf here in the uk, well
>> supported and understood, while wdc was far away and don't
>> remember if there was even a uk agent for the devices. Iirc, I
>> did a grey import to the uk for Manx C, probably after seeing
>> it advertised in Byte mag, and also having been fired up by
>> things like the AT&T programmers workbench report, blue book,
>> which seemed like another world. Still an asm programmer, didn't
>> really have the knowledge base at the time to make best use of
>> it, wasn't impressed with the code it produced and eventually
>> gave up.
>
> To be fair, the competition was often not hand-written assembly
> but interpreted BASIC. I worked with Apple IIs on production
> lines. Everything was BASIC for maintainability and portability.
> We used Microsoft's Applesoft BASIC compiler (TASC) and got
> a significant speedup, although not a huge one given that
> multi-byte arithmetic, floating-point, strings, I/O etc. called into
> a runtime library, just as it did when interpreted.
>
> Of course hand-written assembly would have been faster and
> smaller, but for those applications it wouldn't have made sense
> for all sorts of reasons.

I think what some seem to forget is that in the early days of
micros, they were thought of not so much for computing, but as
a logic replacement. Where a single micro and a few support
devices could replace several boards full of TTL and CMOS
logic. After buying the first micro board it was a while before
a light switched on, as I realised just how powerful programmed
solutions could be. That's the point where I became completely
hooked on computing. Having the hardware background was a real
advantage later, when learning about comp sci principles and
system organisation...

Re: Could we build a better 6502?

<4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19843&group=comp.arch#19843

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a37:4452:: with SMTP id r79mr10350408qka.70.1629008136942;
Sat, 14 Aug 2021 23:15:36 -0700 (PDT)
X-Received: by 2002:aca:f089:: with SMTP id o131mr7317074oih.37.1629008136628;
Sat, 14 Aug 2021 23:15:36 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 14 Aug 2021 23:15:36 -0700 (PDT)
In-Reply-To: <be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:f39d:2c00:8dc7:23ad:a8fd:1d5c;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:f39d:2c00:8dc7:23ad:a8fd:1d5c
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: jsav...@ecn.ab.ca (Quadibloc)
Injection-Date: Sun, 15 Aug 2021 06:15:36 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Quadibloc - Sun, 15 Aug 2021 06:15 UTC

On Friday, July 23, 2021 at 6:00:15 AM UTC-6, Quadibloc wrote:
> On Friday, July 23, 2021 at 4:59:30 AM UTC-6, Thomas Koenig wrote:
> > Another direction for retro-architectures... I've been looking
> > at the 6502 a bit, and it really is quite an interesting design.
> > Squeezing the functionality of a CPU into ~3500 transistors (plus
> > ~1000 transistors used as resistors) was quite an achievement.

> Indeed.

> However, even the 6502, let alone the 6800 or the 8080, seemed
> to me to have very complicated instruction sets compared to the
> PDP-8.

> But interestingly enough, the 12-bit SDS 92 had an instruction set
> that in many ways resembled those of the early 8-bit micros. (A
> manual describing its instruction set is, of course, on Bitsavers.)

Given that the PDP-8 was a very successful minicomputer, while
the SDS 92, even though it was the first commercially available
computer made from monolithic integrated circuits, is merely an
obscure historical footnote:

*why* were the first microprocessors designed with such
complicated instruction sets, if they could have used fewer
transistors with a simpler design?

John Savard

Re: Could we build a better 6502?

<sfaip0$8o8$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19844&group=comp.arch#19844

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 15 Aug 2021 08:20:48 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sfaip0$8o8$1@newsreader4.netcologne.de>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
Injection-Date: Sun, 15 Aug 2021 08:20:48 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd6:3b2f:0:7285:c2ff:fe6c:992d";
logging-data="8968"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 15 Aug 2021 08:20 UTC

Quadibloc <jsavard@ecn.ab.ca> schrieb:
> On Friday, July 23, 2021 at 6:00:15 AM UTC-6, Quadibloc wrote:
>> On Friday, July 23, 2021 at 4:59:30 AM UTC-6, Thomas Koenig wrote:
>> > Another direction for retro-architectures... I've been looking
>> > at the 6502 a bit, and it really is quite an interesting design.
>> > Squeezing the functionality of a CPU into ~3500 transistors (plus
>> > ~1000 transistors used as resistors) was quite an achievement.
>
>> Indeed.
>
>> However, even the 6502, let alone the 6800 or the 8080, seemed
>> to me to have very complicated instruction sets compared to the
>> PDP-8.
>
>> But interestingly enough, the 12-bit SDS 92 had an instruction set
>> that in many ways resembled those of the early 8-bit micros. (A
>> manual describing its instruction set is, of course, on Bitsavers.)
>
> Given that the PDP-8 was a very successful minicomputer, while
> the SDS 92, even though it was the first commercially available
> computer made from monolithic integrated circuits, is merely an
> obscure historical footnote:
>
> *why* were the first microprocessors designed with such
> complicated instruction sets, if they could have used fewer
> transistors with a simpler design?

.... which is more or less the question which propted me to ask
if we could build a better 6502 :-)

One important point is the extreme cost of memory at the time.
People designed their ISAs for a very high code density because
of that.

Re: Could we build a better 6502?

<jwvtujq6d9n.fsf-monnier+comp.arch@gnu.org>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19848&group=comp.arch#19848

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: monn...@iro.umontreal.ca (Stefan Monnier)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 15 Aug 2021 09:37:37 -0400
Organization: A noiseless patient Spider
Lines: 10
Message-ID: <jwvtujq6d9n.fsf-monnier+comp.arch@gnu.org>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
Mime-Version: 1.0
Content-Type: text/plain
Injection-Info: reader02.eternal-september.org; posting-host="13d2aee2e331e5df39192a4582d99431";
logging-data="32253"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/+2MFIRoYownwMuqLKUrkb"
User-Agent: Gnus/5.13 (Gnus v5.13) Emacs/28.0.50 (gnu/linux)
Cancel-Lock: sha1:Pihrs/ApbsS7au4dUzNCaHSMi7E=
sha1:F7aizaVxLw/Q5PZ48AcF/cn4LbU=
 by: Stefan Monnier - Sun, 15 Aug 2021 13:37 UTC

> *why* were the first microprocessors designed with such
> complicated instruction sets, if they could have used fewer
> transistors with a simpler design?

Which part of the 6502's instruction set do you find "complicated" and
what kind of change do you think would have reduced the number of
transistors needed to implement it?

Stefan

Re: Could we build a better 6502?

<sfb5qi$m3u$1@newsreader4.netcologne.de>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19849&group=comp.arch#19849

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!newsreader4.netcologne.de!news.netcologne.de!.POSTED.2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de!not-for-mail
From: tkoe...@netcologne.de (Thomas Koenig)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 15 Aug 2021 13:45:54 -0000 (UTC)
Organization: news.netcologne.de
Distribution: world
Message-ID: <sfb5qi$m3u$1@newsreader4.netcologne.de>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
<jwvtujq6d9n.fsf-monnier+comp.arch@gnu.org>
Injection-Date: Sun, 15 Aug 2021 13:45:54 -0000 (UTC)
Injection-Info: newsreader4.netcologne.de; posting-host="2001-4dd6-3b2f-0-7285-c2ff-fe6c-992d.ipv6dyn.netcologne.de:2001:4dd6:3b2f:0:7285:c2ff:fe6c:992d";
logging-data="22654"; mail-complaints-to="abuse@netcologne.de"
User-Agent: slrn/1.0.3 (Linux)
 by: Thomas Koenig - Sun, 15 Aug 2021 13:45 UTC

Stefan Monnier <monnier@iro.umontreal.ca> schrieb:

> Which part of the 6502's instruction set do you find "complicated" and
> what kind of change do you think would have reduced the number of
> transistors needed to implement it?

The memory operands. A load/store architecture would have saved
a lot of decoding and sequencing and associated transistor (or,
better measure area), which could have been used for more and bigger
registers.

The Z80 is even stranger, with its 4-bit ALU for an 8-bit design,
and taking multiple CPU cycles for each "machine cycle" and multiple
machine cycles for each instruction.

Note that I am not confident that we can actually do better for
overall performance per transistor for the 6502. For the Z80,
I would be.

Re: Could we build a better 6502?

<jwvim066b00.fsf-monnier+comp.arch@gnu.org>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19850&group=comp.arch#19850

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: monn...@iro.umontreal.ca (Stefan Monnier)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 15 Aug 2021 10:34:12 -0400
Organization: A noiseless patient Spider
Lines: 28
Message-ID: <jwvim066b00.fsf-monnier+comp.arch@gnu.org>
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
<jwvtujq6d9n.fsf-monnier+comp.arch@gnu.org>
<sfb5qi$m3u$1@newsreader4.netcologne.de>
Mime-Version: 1.0
Content-Type: text/plain
Injection-Info: reader02.eternal-september.org; posting-host="13d2aee2e331e5df39192a4582d99431";
logging-data="9908"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX187zqxNH+H+L/xdVk0VN7sx"
User-Agent: Gnus/5.13 (Gnus v5.13) Emacs/28.0.50 (gnu/linux)
Cancel-Lock: sha1:tR7gm9MBZuY4Uxn7G6K/XX3xdSk=
sha1:xidD4I/sQnFXjPCCaegdDfAvi/U=
 by: Stefan Monnier - Sun, 15 Aug 2021 14:34 UTC

Thomas Koenig [2021-08-15 13:45:54] wrote:
> Stefan Monnier <monnier@iro.umontreal.ca> schrieb:
>> Which part of the 6502's instruction set do you find "complicated" and
>> what kind of change do you think would have reduced the number of
>> transistors needed to implement it?
> The memory operands. A load/store architecture would have saved
> a lot of decoding and sequencing and associated transistor (or,
> better measure area), which could have been used for more and bigger
> registers.

I think the discussion so far hasn't reached a clear conclusion on
whether it would have lead to something better (smaller or faster or
both). You might be right, but I think it's hard to tell without trying
it out [ and compared to the original designers we benefit from what
we've learned from history, so I really can't blame them. ].
I suspect that it could end up smaller but slower (because of the extra
memory accesses needed because of a less compact code).

> The Z80 is even stranger, with its 4-bit ALU for an 8-bit design,
> and taking multiple CPU cycles for each "machine cycle" and multiple
> machine cycles for each instruction.

For the Z80 I think the question is closed, indeed: we can clearly do
better with those resources. But note that part of its appeal/goal was
backward compatibility.

Stefan

Re: Could we build a better 6502?

<2021Aug15.175812@mips.complang.tuwien.ac.at>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19854&group=comp.arch#19854

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!reader02.eternal-september.org!.POSTED!not-for-mail
From: ant...@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: Could we build a better 6502?
Date: Sun, 15 Aug 2021 15:58:12 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 14
Distribution: world
Message-ID: <2021Aug15.175812@mips.complang.tuwien.ac.at>
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com> <4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com> <sfaip0$8o8$1@newsreader4.netcologne.de>
Injection-Info: reader02.eternal-september.org; posting-host="8af3d5f476ea0e58470158170419de15";
logging-data="6489"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/32HZQ2fweixWou+Qf0+14"
Cancel-Lock: sha1:ktKpJwqnh0mhJ6G8XdwoUGfhQoE=
X-newsreader: xrn 10.00-beta-3
 by: Anton Ertl - Sun, 15 Aug 2021 15:58 UTC

Thomas Koenig <tkoenig@netcologne.de> writes:
>One important point is the extreme cost of memory at the time.
>People designed their ISAs for a very high code density because
>of that.

Not so sure about the 6502 in that respect, with having to often put a
CLC before an ADC, having to use one or two address bytes in addition
to the opcode for many instructions, and having to use at least twice
as many instructions for 16-bit operations.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: Could we build a better 6502?

<rq-dneuWULqG2oT8nZ2dnUU7-KHNnZ2d@earthlink.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19856&group=comp.arch#19856

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.snarked.org!border2.nntp.dca1.giganews.com!nntp.giganews.com!buffer2.nntp.dca1.giganews.com!buffer1.nntp.dca1.giganews.com!nntp.earthlink.com!news.earthlink.com.POSTED!not-for-mail
NNTP-Posting-Date: Sun, 15 Aug 2021 11:55:55 -0500
Subject: Re: Could we build a better 6502?
Newsgroups: comp.arch
References: <sde7eg$hgb$1@newsreader4.netcologne.de>
<be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
<sfaip0$8o8$1@newsreader4.netcologne.de>
<2021Aug15.175812@mips.complang.tuwien.ac.at>
From: david.sc...@earthlink.net (David Schultz)
Date: Sun, 15 Aug 2021 11:55:55 -0500
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:78.0) Gecko/20100101
Thunderbird/78.11.0
MIME-Version: 1.0
In-Reply-To: <2021Aug15.175812@mips.complang.tuwien.ac.at>
Content-Type: text/plain; charset=utf-8
Content-Language: en-US
Content-Transfer-Encoding: 7bit
Message-ID: <rq-dneuWULqG2oT8nZ2dnUU7-KHNnZ2d@earthlink.com>
Lines: 36
X-Usenet-Provider: http://www.giganews.com
NNTP-Posting-Host: 108.194.108.203
X-Trace: sv3-fCqrK9wpMda0uaaydaYz3FWLlY2K98KidUPSp12Pjz9EhPEv4bC/627tpZO+06qBTR9ieKMrdDVdxOI!oxvmR2qFXgAIW6ByHNlrMe7YAyZcJmX3dbjOOeV313joe1MTIaTZwAZO5l7Sd/TF27sATp+9lLA2!/K3FVF54pGQ6cdaL5wAf2YowJVSwNGgEIg==
X-Abuse-and-DMCA-Info: Please be sure to forward a copy of ALL headers
X-Abuse-and-DMCA-Info: Otherwise we will be unable to process your complaint properly
X-Postfilter: 1.3.40
X-Original-Bytes: 2857
 by: David Schultz - Sun, 15 Aug 2021 16:55 UTC

On 8/15/21 10:58 AM, Anton Ertl wrote:
> Thomas Koenig <tkoenig@netcologne.de> writes:
>> One important point is the extreme cost of memory at the time.
>> People designed their ISAs for a very high code density because
>> of that.
>
> Not so sure about the 6502 in that respect, with having to often put a
> CLC before an ADC, having to use one or two address bytes in addition
> to the opcode for many instructions, and having to use at least twice
> as many instructions for 16-bit operations.
>

It could be worse. I still remember writing code for a PIC16F628 and my
shock at the lack of an add with carry instruction. You could fake it
but it took more than one extra instruction to do it. A real PITA

I suspect that it was more important that the design tools were nearly
non-existent. At best you could start with a design that was built using
TTL. Then you had to transfer that to a collection of transistors. Laid
out by hand using tape and a sharp knife.

That took a lot of time and because of the costs and slow turn around
from fabrication there would be a premium on getting it right the first
time. So go with what you know.

I will have to dig out the BYTE magazine article on the design of the
6809. That happened a few years later with slightly better design tools.

It looks like I will not have to dig into the paper archives:
https://tlindner.macmess.org/?page_id=119

--
http://davesrocketworks.com
David Schultz

Re: Could we build a better 6502?

<d03825b0-6eef-4426-ae13-9932529e575cn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=19858&group=comp.arch#19858

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a0c:e885:: with SMTP id b5mr12602054qvo.48.1629048705669;
Sun, 15 Aug 2021 10:31:45 -0700 (PDT)
X-Received: by 2002:a05:6808:1509:: with SMTP id u9mr9216413oiw.119.1629048705470;
Sun, 15 Aug 2021 10:31:45 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sun, 15 Aug 2021 10:31:45 -0700 (PDT)
In-Reply-To: <4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=104.59.204.55; posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 104.59.204.55
References: <sde7eg$hgb$1@newsreader4.netcologne.de> <be7f8928-ee11-422e-b11e-f89d3ad39782n@googlegroups.com>
<4faf234c-eabc-4ed4-b849-5e33df12fdb9n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <d03825b0-6eef-4426-ae13-9932529e575cn@googlegroups.com>
Subject: Re: Could we build a better 6502?
From: MitchAl...@aol.com (MitchAlsup)
Injection-Date: Sun, 15 Aug 2021 17:31:45 +0000
Content-Type: text/plain; charset="UTF-8"
 by: MitchAlsup - Sun, 15 Aug 2021 17:31 UTC

On Sunday, August 15, 2021 at 1:15:38 AM UTC-5, Quadibloc wrote:
> On Friday, July 23, 2021 at 6:00:15 AM UTC-6, Quadibloc wrote:
> > On Friday, July 23, 2021 at 4:59:30 AM UTC-6, Thomas Koenig wrote:
> > > Another direction for retro-architectures... I've been looking
> > > at the 6502 a bit, and it really is quite an interesting design.
> > > Squeezing the functionality of a CPU into ~3500 transistors (plus
> > > ~1000 transistors used as resistors) was quite an achievement.
> > Indeed.
>
> > However, even the 6502, let alone the 6800 or the 8080, seemed
> > to me to have very complicated instruction sets compared to the
> > PDP-8.
>
> > But interestingly enough, the 12-bit SDS 92 had an instruction set
> > that in many ways resembled those of the early 8-bit micros. (A
> > manual describing its instruction set is, of course, on Bitsavers.)
>
> Given that the PDP-8 was a very successful minicomputer, while
> the SDS 92, even though it was the first commercially available
> computer made from monolithic integrated circuits, is merely an
> obscure historical footnote:
>
> *why* were the first microprocessors designed with such
> complicated instruction sets, if they could have used fewer
> transistors with a simpler design?
<
They were designed to use every available bus cycle and developed
instructions sets to accomplish same.
<
As to 6502, its ISA is a simplified and slightly altered 6800.
>
> John Savard

Pages:12345678910111213
server_pubkey.txt

rocksolid light 0.9.81
clearnet tor