Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

6 May, 2024: The networking issue during the past two days has been identified and may be fixed. Will keep monitoring.


devel / comp.lang.vhdl / Array Initialization in VHDL-2008

SubjectAuthor
o Array Initialization in VHDL-2008Digital Guy

1
Array Initialization in VHDL-2008

<8130c315-16bd-4a69-b1b9-c383908cbee9n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=9916&group=comp.lang.vhdl#9916

  copy link   Newsgroups: comp.lang.vhdl
X-Received: by 2002:a05:600c:384c:b0:37b:c771:499c with SMTP id s12-20020a05600c384c00b0037bc771499cmr7160190wmr.141.1645120796143;
Thu, 17 Feb 2022 09:59:56 -0800 (PST)
X-Received: by 2002:a25:6c8b:0:b0:620:f657:f6b1 with SMTP id
h133-20020a256c8b000000b00620f657f6b1mr3623377ybc.107.1645120795639; Thu, 17
Feb 2022 09:59:55 -0800 (PST)
Path: i2pn2.org!rocksolid2!i2pn.org!weretis.net!feeder6.news.weretis.net!1.us.feeder.erje.net!feeder.erje.net!border1.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.vhdl
Date: Thu, 17 Feb 2022 09:59:55 -0800 (PST)
Injection-Info: google-groups.googlegroups.com; posting-host=155.190.1.7; posting-account=-3m9WQoAAADqph1cWZ4uX0b-9dwN4Ozr
NNTP-Posting-Host: 155.190.1.7
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <8130c315-16bd-4a69-b1b9-c383908cbee9n@googlegroups.com>
Subject: Array Initialization in VHDL-2008
From: digitalg...@gmail.com (Digital Guy)
Injection-Date: Thu, 17 Feb 2022 17:59:56 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 9
 by: Digital Guy - Thu, 17 Feb 2022 17:59 UTC

The following array initialization worked fine in VHDL-2002:
type PACKET_REG_TYPE is array (0 to PACKET_LEN_MAX - 1) of std_logic_vector(7 downto 0); -- Packet array definition: (PACKET_LEN_MAX) deep x 8-bit wide.

signal tx_byte_sr : PACKET_REG_TYPE;

tx_byte_sr <= (others => (others => '0'));

Now, with VHDL-2008, it gives: (vcom-1320) Type of expression "(OTHERS => '0')" is ambiguous; using element type STD_LOGIC_VECTOR, not aggregate type PACKET_REG_TYPE.

I can't find any documentation on the "new" way to initialize arrays in VHDL-2008.

1
server_pubkey.txt

rocksolid light 0.9.81
clearnet tor