Rocksolid Light

Welcome to novaBBS (click a section below)

mail  files  register  newsreader  groups  login

Message-ID:  

Save gas, don't use the shell.


devel / comp.lang.forth / Re: FPGA4th

SubjectAuthor
* FPGA4thJohn Hart
+* Re: FPGA4thJurgen Pitaske
|+* Re: FPGA4thA.T. Murray
||`- Re: FPGA4thBrian Fox
|+- Re: FPGA4thHugh Aguilar
|+* Re: FPGA4thJohn Hart
||`* Re: FPGA4thJurgen Pitaske
|| `* Re: FPGA4thJohn Hart
||  +* Re: FPGA4thJurgen Pitaske
||  |`* Re: FPGA4thJurgen Pitaske
||  | `* Re: FPGA4thLorem Ipsum
||  |  `* Re: FPGA4thJurgen Pitaske
||  |   `* Re: FPGA4thLorem Ipsum
||  |    `* Re: FPGA4thJurgen Pitaske
||  |     +- Re: FPGA4thJurgen Pitaske
||  |     `* Re: FPGA4thLorem Ipsum
||  |      `* Re: FPGA4thJurgen Pitaske
||  |       `* Re: FPGA4thLorem Ipsum
||  |        `* Re: FPGA4thJurgen Pitaske
||  |         `* Re: FPGA4thLorem Ipsum
||  |          `* Re: FPGA4thJurgen Pitaske
||  |           +* Re: FPGA4thJohn Hart
||  |           |+- Re: FPGA4thJurgen Pitaske
||  |           |`- Re: FPGA4thWayne morellini
||  |           `* Re: FPGA4thMyron Plichota
||  |            +- Re: FPGA4thJohn Hart
||  |            +* Re: FPGA4thLorem Ipsum
||  |            |`* Re: FPGA4thnone
||  |            | `* Re: FPGA4thLorem Ipsum
||  |            |  +- Re: FPGA4thJurgen Pitaske
||  |            |  `* Re: FPGA4thdxforth
||  |            |   `* Re: FPGA4thLorem Ipsum
||  |            |    `* Re: FPGA4thWayne morellini
||  |            |     `* Re: FPGA4thdxforth
||  |            |      `* Re: FPGA4thWayne morellini
||  |            |       `* Re: FPGA4thdxforth
||  |            |        `* Re: FPGA4thS
||  |            |         `* Re: FPGA4thdxforth
||  |            |          `* Re: FPGA4thS
||  |            |           `* Re: FPGA4thdxforth
||  |            |            `* Re: FPGA4thS 1
||  |            |             `* Re: FPGA4thdxforth
||  |            |              `* Re: FPGA4thS 1
||  |            |               `* Re: FPGA4thdxforth
||  |            |                `* Re: FPGA4thS
||  |            |                 `- Re: FPGA4thdxforth
||  |            +- Re: FPGA4thJurgen Pitaske
||  |            +- Re: FPGA4thWayne morellini
||  |            `- Re: FPGA4thWayne morellini
||  `- Re: FPGA4thnone
|`* Re: FPGA4thJohn Hart
| +* Re: FPGA4thJurgen Pitaske
| |`* Re: FPGA4thJohn Hart
| | `- Re: FPGA4thJurgen Pitaske
| +* Re: FPGA4thLorem Ipsum
| |`* Re: FPGA4thJohn Hart
| | +* Re: FPGA4thLorem Ipsum
| | |+* Re: FPGA4thJurgen Pitaske
| | ||`* Re: FPGA4thLorem Ipsum
| | || `- Re: FPGA4thJurgen Pitaske
| | |`- Re: FPGA4thJohn Hart
| | `* Re: FPGA4thHugh Aguilar
| |  +- Re: FPGA4thJurgen Pitaske
| |  +- Re: FPGA4thnone
| |  +- Re: FPGA4thS 1
| |  `* Re: FPGA4thJohn Hart
| |   +* Re: FPGA4thHugh Aguilar
| |   |`* Re: FPGA4thJurgen Pitaske
| |   | `* Re: FPGA4thJohn Hart
| |   |  +- Re: FPGA4thJurgen Pitaske
| |   |  +* Re: FPGA4thHugh Aguilar
| |   |  |`* Re: FPGA4thJurgen Pitaske
| |   |  | `* Re: FPGA4thJurgen Pitaske
| |   |  |  +* Re: FPGA4thJurgen Pitaske
| |   |  |  |`* Re: FPGA4thLorem Ipsum
| |   |  |  | `* Re: FPGA4thJurgen Pitaske
| |   |  |  |  `* Re: FPGA4thdxforth
| |   |  |  |   `- Re: FPGA4thJurgen Pitaske
| |   |  |  `- Re: FPGA4thJurgen Pitaske
| |   |  `* Re: FPGA4thHugh Aguilar
| |   |   +* Re: FPGA4thdxforth
| |   |   |`* Re: FPGA4thAnton Ertl
| |   |   | `* Re: FPGA4thdxforth
| |   |   |  `* Re: FPGA4thAnton Ertl
| |   |   |   `* Re: FPGA4thdxforth
| |   |   |    `- Re: FPGA4thJurgen Pitaske
| |   |   `- Re: FPGA4thdxforth
| |   +* Re: FPGA4thLorem Ipsum
| |   |`* Re: FPGA4thJohn Hart
| |   | `- Re: FPGA4thLorem Ipsum
| |   `* Re: FPGA4thHugh Aguilar
| |    `* Re: FPGA4thJurgen Pitaske
| |     `* Re: FPGA4thJohn Hart
| |      +- Re: FPGA4thLorem Ipsum
| |      `* Re: FPGA4thJurgen Pitaske
| |       `* Re: FPGA4thJohn Hart
| |        +- Re: FPGA4thJurgen Pitaske
| |        +* Re: FPGA4thJurgen Pitaske
| |        |`- Re: FPGA4thJohn Hart
| |        `* Re: FPGA4thHugh Aguilar
| |         `* Re: FPGA4thJurgen Pitaske
| `* Re: FPGA4thHugh Aguilar
`* Re: FPGA4thHugh Aguilar

Pages:123456
Re: FPGA4th

<1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16281&group=comp.lang.forth#16281

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:2596:: with SMTP id x22mr5431564qko.408.1641974476008;
Wed, 12 Jan 2022 00:01:16 -0800 (PST)
X-Received: by 2002:a05:620a:400e:: with SMTP id h14mr5616084qko.644.1641974475886;
Wed, 12 Jan 2022 00:01:15 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Wed, 12 Jan 2022 00:01:15 -0800 (PST)
In-Reply-To: <75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=72.107.30.50; posting-account=_dwjNwoAAAA4hFltKxWAwHSTsQHdOMuM
NNTP-Posting-Host: 72.107.30.50
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com> <75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
Subject: Re: FPGA4th
From: johnroge...@gmail.com (John Hart)
Injection-Date: Wed, 12 Jan 2022 08:01:16 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 14
 by: John Hart - Wed, 12 Jan 2022 08:01 UTC

On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > \ Op Code File for MFX. Generated by MAKE-OPS v13

> Thank you very much John - let's see what happens next.
> Just for the fun of it I formatted it slightly in a way that makes the blocks a bit clearer to me
> https://www.dropbox.com/sh/ah8umk0hgq1818s/AAC8nNEueZZcIYJ8uGP4F4wPa?dl=0

I've got the next part working and am planning on posting the output,
a 'forth' file that defines control logic decoding. It's derived from the same
set of sets that defined the compiler op codes.
Is there a way for the post to be formated,
I'm using google groups to access the forum and it doesn't provide much.

jrh

Re: FPGA4th

<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=16282&group=comp.lang.forth#16282

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ad4:5b82:: with SMTP id 2mr7371843qvp.22.1641983716550;
Wed, 12 Jan 2022 02:35:16 -0800 (PST)
X-Received: by 2002:ad4:5c67:: with SMTP id i7mr4319747qvh.51.1641983716360;
Wed, 12 Jan 2022 02:35:16 -0800 (PST)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border2.nntp.dca1.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Wed, 12 Jan 2022 02:35:16 -0800 (PST)
In-Reply-To: <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.155.220; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.155.220
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Wed, 12 Jan 2022 10:35:16 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 15
 by: Jurgen Pitaske - Wed, 12 Jan 2022 10:35 UTC

On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > Thank you very much John - let's see what happens next.
> > Just for the fun of it I formatted it slightly in a way that makes the blocks a bit clearer to me
> > https://www.dropbox.com/sh/ah8umk0hgq1818s/AAC8nNEueZZcIYJ8uGP4F4wPa?dl=0
> I've got the next part working and am planning on posting the output,
> a 'forth' file that defines control logic decoding. It's derived from the same
> set of sets that defined the compiler op codes.
> Is there a way for the post to be formated,
> I'm using google groups to access the forum and it doesn't provide much.
>
> jrh

As a start you could send it to my email address epldfpga@aol.com and I will add it to the dropbox files where the other one is.

Re: FPGA4th

<990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20577&group=comp.lang.forth#20577

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:2242:b0:4b1:ccb3:aced with SMTP id c2-20020a056214224200b004b1ccb3acedmr10543727qvc.51.1665297469863;
Sat, 08 Oct 2022 23:37:49 -0700 (PDT)
X-Received: by 2002:a05:6902:1029:b0:6bf:25fb:a885 with SMTP id
x9-20020a056902102900b006bf25fba885mr10953885ybt.237.1665297469635; Sat, 08
Oct 2022 23:37:49 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sat, 8 Oct 2022 23:37:49 -0700 (PDT)
In-Reply-To: <430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=75.237.71.70; posting-account=_dwjNwoAAAA4hFltKxWAwHSTsQHdOMuM
NNTP-Posting-Host: 75.237.71.70
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
Subject: Re: FPGA4th
From: johnroge...@gmail.com (John Hart)
Injection-Date: Sun, 09 Oct 2022 06:37:49 +0000
Content-Type: text/plain; charset="UTF-8"
X-Received-Bytes: 1914
 by: John Hart - Sun, 9 Oct 2022 06:37 UTC

On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
jrh

Re: FPGA4th

<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20582&group=comp.lang.forth#20582

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:2495:b0:6ce:bbe6:5bb4 with SMTP id i21-20020a05620a249500b006cebbe65bb4mr9264411qkn.674.1665303536964;
Sun, 09 Oct 2022 01:18:56 -0700 (PDT)
X-Received: by 2002:a81:4c6:0:b0:358:a206:f1fd with SMTP id
189-20020a8104c6000000b00358a206f1fdmr11906398ywe.104.1665303536717; Sun, 09
Oct 2022 01:18:56 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 9 Oct 2022 01:18:56 -0700 (PDT)
In-Reply-To: <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Sun, 09 Oct 2022 08:18:56 +0000
Content-Type: text/plain; charset="UTF-8"
X-Received-Bytes: 2319
 by: Jurgen Pitaske - Sun, 9 Oct 2022 08:18 UTC

On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> jrh

Looking forward to it.
Posting and distributing it in different places including facembbok should be easy.

An idea crossed my mind:
Why not do a presentation during a FIG Zoom?
And this would help with where to post it.
http://www.forth.org/svfig/

Re: FPGA4th

<bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20596&group=comp.lang.forth#20596

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:2242:b0:4b1:ccb3:aced with SMTP id c2-20020a056214224200b004b1ccb3acedmr12073645qvc.51.1665334231468;
Sun, 09 Oct 2022 09:50:31 -0700 (PDT)
X-Received: by 2002:a05:6902:1387:b0:6b7:c393:63d1 with SMTP id
x7-20020a056902138700b006b7c39363d1mr14705637ybu.34.1665334231165; Sun, 09
Oct 2022 09:50:31 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 9 Oct 2022 09:50:30 -0700 (PDT)
In-Reply-To: <9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Sun, 09 Oct 2022 16:50:31 +0000
Content-Type: text/plain; charset="UTF-8"
X-Received-Bytes: 2767
 by: Jurgen Pitaske - Sun, 9 Oct 2022 16:50 UTC

On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > jrh
> Looking forward to it.
> Posting and distributing it in different places including facembbok should be easy.
>
> An idea crossed my mind:
> Why not do a presentation during a FIG Zoom?
> And this would help with where to post it.
> http://www.forth.org/svfig/

Just for people who might not know the context:

Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
See the link to Testra where it has been done already.
http://testra.com/Forth/VHDL.htm

And hopefully there is more soon from Testra posted here..

Re: FPGA4th

<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20600&group=comp.lang.forth#20600

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a0c:9a0d:0:b0:4b1:982e:96d4 with SMTP id p13-20020a0c9a0d000000b004b1982e96d4mr11584802qvd.114.1665337864917;
Sun, 09 Oct 2022 10:51:04 -0700 (PDT)
X-Received: by 2002:a81:b01:0:b0:354:bbb5:cb08 with SMTP id
1-20020a810b01000000b00354bbb5cb08mr13819656ywl.34.1665337864668; Sun, 09 Oct
2022 10:51:04 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 9 Oct 2022 10:51:04 -0700 (PDT)
In-Reply-To: <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2605:ba00:3208:c08:7085:86cf:d54d:6b3;
posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 2605:ba00:3208:c08:7085:86cf:d54d:6b3
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com>
Subject: Re: FPGA4th
From: gnuarm.d...@gmail.com (Lorem Ipsum)
Injection-Date: Sun, 09 Oct 2022 17:51:04 +0000
Content-Type: text/plain; charset="UTF-8"
X-Received-Bytes: 3423
 by: Lorem Ipsum - Sun, 9 Oct 2022 17:51 UTC

On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > jrh
> > Looking forward to it.
> > Posting and distributing it in different places including facembbok should be easy.
> >
> > An idea crossed my mind:
> > Why not do a presentation during a FIG Zoom?
> > And this would help with where to post it.
> > http://www.forth.org/svfig/
> Just for people who might not know the context:
>
> Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> See the link to Testra where it has been done already.
> http://testra.com/Forth/VHDL.htm
>
> And hopefully there is more soon from Testra posted here..

Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?

If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.

--

Rick C.

--+ Get 1,000 miles of free Supercharging
--+ Tesla referral code - https://ts.la/richard11209

Re: FPGA4th

<5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20601&group=comp.lang.forth#20601

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a37:6453:0:b0:6ec:5fb8:a882 with SMTP id y80-20020a376453000000b006ec5fb8a882mr1770378qkb.612.1665338093017;
Sun, 09 Oct 2022 10:54:53 -0700 (PDT)
X-Received: by 2002:a05:6902:1029:b0:6bf:25fb:a885 with SMTP id
x9-20020a056902102900b006bf25fba885mr13097283ybt.237.1665338092023; Sun, 09
Oct 2022 10:54:52 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 9 Oct 2022 10:54:51 -0700 (PDT)
In-Reply-To: <6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Sun, 09 Oct 2022 17:54:53 +0000
Content-Type: text/plain; charset="UTF-8"
X-Received-Bytes: 4090
 by: Jurgen Pitaske - Sun, 9 Oct 2022 17:54 UTC

On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > jrh
> > > Looking forward to it.
> > > Posting and distributing it in different places including facembbok should be easy.
> > >
> > > An idea crossed my mind:
> > > Why not do a presentation during a FIG Zoom?
> > > And this would help with where to post it.
> > > http://www.forth.org/svfig/
> > Just for people who might not know the context:
> >
> > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > See the link to Testra where it has been done already.
> > http://testra.com/Forth/VHDL.htm
> >
> > And hopefully there is more soon from Testra posted here..
> Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
>
> If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
>
> --
>
> Rick C.
>
> --+ Get 1,000 miles of free Supercharging
> --+ Tesla referral code - https://ts.la/richard11209

You are proving again that you attention span is zero - or is it your reading capability?

Designing logic with the Forth VHDL

1. Write a software simulation of the design.
2. Test the design.
3. Convert the software simulation into a hardware definition.
4. Compile the hardware definition into logic equations.
5. Fit the logic equations into the device.
6. Verify that the logic equations work correctly.
7. Route the signals and assign the I/O pins.
8. Convert the routed design into a fusemap.

Re: FPGA4th

<nnd$2d75e82e$1f69ccfc@ffc52e0417a4ea7f>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20602&group=comp.lang.forth#20602

  copy link   Newsgroups: comp.lang.forth
Newsgroups: comp.lang.forth
Subject: Re: FPGA4th
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com> <430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
X-Newsreader: trn 4.0-test77 (Sep 1, 2010)
From: alb...@cherry (none)
Originator: albert@cherry.(none) (albert)
Message-ID: <nnd$2d75e82e$1f69ccfc@ffc52e0417a4ea7f>
Organization: KPN B.V.
Date: Sun, 09 Oct 2022 21:04:33 +0200
Path: i2pn2.org!i2pn.org!aioe.org!news.mixmin.net!newsreader4.netcologne.de!news.netcologne.de!peer02.ams1!peer.ams1.xlned.com!news.xlned.com!peer01.ams4!peer.am4.highwinds-media.com!news.highwinds-media.com!feed.abavia.com!abe005.abavia.com!abp002.abavia.com!news.kpn.nl!not-for-mail
Lines: 24
Injection-Date: Sun, 09 Oct 2022 21:04:33 +0200
Injection-Info: news.kpn.nl; mail-complaints-to="abuse@kpn.com"
X-Received-Bytes: 1949
 by: none - Sun, 9 Oct 2022 19:04 UTC

In article <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>,
John Hart <johnrogerhart@gmail.com> wrote:
>On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
>> On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
>> > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7,
>jpit...@gmail.com wrote:
>> > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
>> > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
>The Logic Compiler is working and the modules for the processor are done
>and tested. After the mem interface module is complete we'll be ready to
>put the design into the fpga. We're using a X02-7000 but the design will
>work in any equivalent part.
>jrh

Are you the John Hart made famous by Hugh Aguilar?
Welcome to c.l.f, whatever that is the case!

Groetjes Albert
--
"in our communism country Viet Nam, people are forced to be
alive and in the western country like US, people are free to
die from Covid 19 lol" duc ha
albert@spe&ar&c.xs4all.nl &=n http://home.hccnet.nl/a.w.m.van.der.horst

Re: FPGA4th

<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20603&group=comp.lang.forth#20603

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:622a:611:b0:393:d273:44e4 with SMTP id z17-20020a05622a061100b00393d27344e4mr12060765qta.432.1665342921881;
Sun, 09 Oct 2022 12:15:21 -0700 (PDT)
X-Received: by 2002:a25:3342:0:b0:6be:89e6:5c1b with SMTP id
z63-20020a253342000000b006be89e65c1bmr14746380ybz.53.1665342921533; Sun, 09
Oct 2022 12:15:21 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 9 Oct 2022 12:15:21 -0700 (PDT)
In-Reply-To: <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2605:ba00:3208:c08:7085:86cf:d54d:6b3;
posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 2605:ba00:3208:c08:7085:86cf:d54d:6b3
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com>
Subject: Re: FPGA4th
From: gnuarm.d...@gmail.com (Lorem Ipsum)
Injection-Date: Sun, 09 Oct 2022 19:15:21 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 5072
 by: Lorem Ipsum - Sun, 9 Oct 2022 19:15 UTC

On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail..com wrote:
> > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > jrh
> > > > Looking forward to it.
> > > > Posting and distributing it in different places including facembbok should be easy.
> > > >
> > > > An idea crossed my mind:
> > > > Why not do a presentation during a FIG Zoom?
> > > > And this would help with where to post it.
> > > > http://www.forth.org/svfig/
> > > Just for people who might not know the context:
> > >
> > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > See the link to Testra where it has been done already.
> > > http://testra.com/Forth/VHDL.htm
> > >
> > > And hopefully there is more soon from Testra posted here..
> > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> >
> > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published.. It would seem to be a trivial exercise.
> >
> > --
> >
> > Rick C.
> >
> > --+ Get 1,000 miles of free Supercharging
> > --+ Tesla referral code - https://ts.la/richard11209
> You are proving again that you attention span is zero - or is it your reading capability?
>
> Designing logic with the Forth VHDL
>
> 1. Write a software simulation of the design.
> 2. Test the design.
> 3. Convert the software simulation into a hardware definition.
> 4. Compile the hardware definition into logic equations.
> 5. Fit the logic equations into the device.
> 6. Verify that the logic equations work correctly.
> 7. Route the signals and assign the I/O pins.
> 8. Convert the routed design into a fusemap.

"Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.

I can't tell what you are talking about from this description, but it sounds like it is for CPLDs, rather than FPGAs. Maybe we are hitting a language barrier.

--

Rick C.

-+- Get 1,000 miles of free Supercharging
-+- Tesla referral code - https://ts.la/richard11209

Re: FPGA4th

<c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20607&group=comp.lang.forth#20607

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:6214:21e5:b0:4b3:efa6:4b17 with SMTP id p5-20020a05621421e500b004b3efa64b17mr5498985qvj.22.1665382984404;
Sun, 09 Oct 2022 23:23:04 -0700 (PDT)
X-Received: by 2002:a0d:e811:0:b0:35c:157f:2d54 with SMTP id
r17-20020a0de811000000b0035c157f2d54mr14850594ywe.308.1665382984125; Sun, 09
Oct 2022 23:23:04 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 9 Oct 2022 23:23:03 -0700 (PDT)
In-Reply-To: <b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Mon, 10 Oct 2022 06:23:04 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 5954
 by: Jurgen Pitaske - Mon, 10 Oct 2022 06:23 UTC

On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > jrh
> > > > > Looking forward to it.
> > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > >
> > > > > An idea crossed my mind:
> > > > > Why not do a presentation during a FIG Zoom?
> > > > > And this would help with where to post it.
> > > > > http://www.forth.org/svfig/
> > > > Just for people who might not know the context:
> > > >
> > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > See the link to Testra where it has been done already.
> > > > http://testra.com/Forth/VHDL.htm
> > > >
> > > > And hopefully there is more soon from Testra posted here..
> > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > >
> > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > >
> > > --
> > >
> > > Rick C.
> > >
> > > --+ Get 1,000 miles of free Supercharging
> > > --+ Tesla referral code - https://ts.la/richard11209
> > You are proving again that you attention span is zero - or is it your reading capability?
> >
> > Designing logic with the Forth VHDL
> >
> > 1. Write a software simulation of the design.
> > 2. Test the design.
> > 3. Convert the software simulation into a hardware definition.
> > 4. Compile the hardware definition into logic equations.
> > 5. Fit the logic equations into the device.
> > 6. Verify that the logic equations work correctly.
> > 7. Route the signals and assign the I/O pins.
> > 8. Convert the routed design into a fusemap.
> "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
>
> I can't tell what you are talking about from this description,
> but it sounds like it is for CPLDs, rather than FPGAs.
Maybe we are hitting a language barrier.
>
> --
>
> Rick C.
>
> -+- Get 1,000 miles of free Supercharging
> -+- Tesla referral code - https://ts.la/richard11209

The linfo at Testra clearly states:

Using Forth as a VHDL ( Virtual Hardware Definition Language )
John R. Hart, Testra Corporation

They used it on a CPLD first, and on a Lattice FPGA 7k now.
Hugh Aguilar was involved there.

And with all of the knowledge and experience here or elsewhere
it could probably be ported to
other FPGA families.

You have all of the advantages of Forth,
and no need for the overhead of FPGA tools as I understand.

I am surprised this has not been of interest for the last many years,
as the info on the Testra website was always there.

But now we hopefully get the opportunity to see a full example.

Re: FPGA4th

<7a21bc62-64a6-4528-ae82-bdfb1ee9c6c0n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20608&group=comp.lang.forth#20608

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:2018:b0:6ee:a96:3c9e with SMTP id c24-20020a05620a201800b006ee0a963c9emr111331qka.18.1665385753022;
Mon, 10 Oct 2022 00:09:13 -0700 (PDT)
X-Received: by 2002:a81:9e0d:0:b0:355:85e8:d975 with SMTP id
m13-20020a819e0d000000b0035585e8d975mr15691725ywj.61.1665385752655; Mon, 10
Oct 2022 00:09:12 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Mon, 10 Oct 2022 00:09:12 -0700 (PDT)
In-Reply-To: <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7a21bc62-64a6-4528-ae82-bdfb1ee9c6c0n@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Mon, 10 Oct 2022 07:09:13 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 6945
 by: Jurgen Pitaske - Mon, 10 Oct 2022 07:09 UTC

On Monday, 10 October 2022 at 07:23:05 UTC+1, Jurgen Pitaske wrote:
> On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit....@gmail.com wrote:
> > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro....@gmail.com wrote:
> > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > jrh
> > > > > > Looking forward to it.
> > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > >
> > > > > > An idea crossed my mind:
> > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > And this would help with where to post it.
> > > > > > http://www.forth.org/svfig/
> > > > > Just for people who might not know the context:
> > > > >
> > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > See the link to Testra where it has been done already.
> > > > > http://testra.com/Forth/VHDL.htm
> > > > >
> > > > > And hopefully there is more soon from Testra posted here..
> > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > >
> > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > >
> > > > --
> > > >
> > > > Rick C.
> > > >
> > > > --+ Get 1,000 miles of free Supercharging
> > > > --+ Tesla referral code - https://ts.la/richard11209
> > > You are proving again that you attention span is zero - or is it your reading capability?
> > >
> > > Designing logic with the Forth VHDL
> > >
> > > 1. Write a software simulation of the design.
> > > 2. Test the design.
> > > 3. Convert the software simulation into a hardware definition.
> > > 4. Compile the hardware definition into logic equations.
> > > 5. Fit the logic equations into the device.
> > > 6. Verify that the logic equations work correctly.
> > > 7. Route the signals and assign the I/O pins.
> > > 8. Convert the routed design into a fusemap.
> > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
> >
> > I can't tell what you are talking about from this description,
> > but it sounds like it is for CPLDs, rather than FPGAs.
> Maybe we are hitting a language barrier.
> >
> > --
> >
> > Rick C.
> >
> > -+- Get 1,000 miles of free Supercharging
> > -+- Tesla referral code - https://ts.la/richard11209
> The linfo at Testra clearly states:
>
> Using Forth as a VHDL ( Virtual Hardware Definition Language )
> John R. Hart, Testra Corporation
>
> They used it on a CPLD first, and on a Lattice FPGA 7k now.
> Hugh Aguilar was involved there.
>
> And with all of the knowledge and experience here or elsewhere
> it could probably be ported to
> other FPGA families.
>
> You have all of the advantages of Forth,
> and no need for the overhead of FPGA tools as I understand.
>
> I am surprised this has not been of interest for the last many years,
> as the info on the Testra website was always there.
>
> But now we hopefully get the opportunity to see a full example.

Any language can probably be used, not just Forth - see C to HDL
https://en.wikipedia.org/wiki/C_to_HDL
I actually met Ian Page when he was part of our customer Celoxica, then ESL..

Reminded me of an article I wrote probably 20 years ago
https://www.design-reuse.com/articles/7656/fast-route-from-system-specification-to-implementation.html

I would love to this Minimum RISC as example for this Forth to Gates route
https://homepage.cs.uiowa.edu/~jones/arch/risc/
I could convince Steve Teal to write it in VHDL.
And he adapted an eForth to it.
But having the Minimum RISC written in Forth as VHDL would be even more interesting.

Re: FPGA4th

<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20609&group=comp.lang.forth#20609

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:27d2:b0:6cf:b644:f644 with SMTP id i18-20020a05620a27d200b006cfb644f644mr12028999qkp.35.1665387339072;
Mon, 10 Oct 2022 00:35:39 -0700 (PDT)
X-Received: by 2002:a25:790f:0:b0:6bd:4713:39b2 with SMTP id
u15-20020a25790f000000b006bd471339b2mr17038685ybc.5.1665387338734; Mon, 10
Oct 2022 00:35:38 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Mon, 10 Oct 2022 00:35:38 -0700 (PDT)
In-Reply-To: <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2605:ba00:3208:c08:95dc:122f:b286:bd4f;
posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 2605:ba00:3208:c08:95dc:122f:b286:bd4f
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com>
Subject: Re: FPGA4th
From: gnuarm.d...@gmail.com (Lorem Ipsum)
Injection-Date: Mon, 10 Oct 2022 07:35:39 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 7910
 by: Lorem Ipsum - Mon, 10 Oct 2022 07:35 UTC

On Monday, October 10, 2022 at 2:23:05 AM UTC-4, jpit...@gmail.com wrote:
> On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit....@gmail.com wrote:
> > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro....@gmail.com wrote:
> > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > jrh
> > > > > > Looking forward to it.
> > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > >
> > > > > > An idea crossed my mind:
> > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > And this would help with where to post it.
> > > > > > http://www.forth.org/svfig/
> > > > > Just for people who might not know the context:
> > > > >
> > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > See the link to Testra where it has been done already.
> > > > > http://testra.com/Forth/VHDL.htm
> > > > >
> > > > > And hopefully there is more soon from Testra posted here..
> > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > >
> > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > >
> > > > --
> > > >
> > > > Rick C.
> > > >
> > > > --+ Get 1,000 miles of free Supercharging
> > > > --+ Tesla referral code - https://ts.la/richard11209
> > > You are proving again that you attention span is zero - or is it your reading capability?
> > >
> > > Designing logic with the Forth VHDL
> > >
> > > 1. Write a software simulation of the design.
> > > 2. Test the design.
> > > 3. Convert the software simulation into a hardware definition.
> > > 4. Compile the hardware definition into logic equations.
> > > 5. Fit the logic equations into the device.
> > > 6. Verify that the logic equations work correctly.
> > > 7. Route the signals and assign the I/O pins.
> > > 8. Convert the routed design into a fusemap.
> > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
> >
> > I can't tell what you are talking about from this description,
> > but it sounds like it is for CPLDs, rather than FPGAs.
> Maybe we are hitting a language barrier.
> >
> > --
> >
> > Rick C.
> >
> > -+- Get 1,000 miles of free Supercharging
> > -+- Tesla referral code - https://ts.la/richard11209
> The linfo at Testra clearly states:
>
> Using Forth as a VHDL ( Virtual Hardware Definition Language )
> John R. Hart, Testra Corporation

Ah, so he's bastardizing the term VHDL. That's normally used as the name of a language for programming digital logic (VHSIC Hardware Description Language).

> They used it on a CPLD first, and on a Lattice FPGA 7k now.
> Hugh Aguilar was involved there.
>
> And with all of the knowledge and experience here or elsewhere
> it could probably be ported to
> other FPGA families.
>
> You have all of the advantages of Forth,
> and no need for the overhead of FPGA tools as I understand.

It's not quite that simple. To target an FPGA device, you have to know the bitstream format. The vendors don't typically provide that information. Some people have reverse engineered a very few of the Lattice parts. I was not aware that the X02-7000 had been reverse engineered, but it's possible.. Figuring out the bit map for other devices is not at all simple. It's tons of work.

> I am surprised this has not been of interest for the last many years,
> as the info on the Testra website was always there.

Open source tools have been of interest and exist. But not for many parts, for the reasons I've explained.

> But now we hopefully get the opportunity to see a full example.

"Full example"???

It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will simply yawn.

I don't know how accurate your list of steps in using the tool is, but it sounds to me like a lot more work than the use of typical FPGA tools. Here's the steps required for designing FPGAs.

1. Write the design in VHDL or Verilog
2. Write a test bench for the simulation stimulus and error checking.
3. Simulate the design using conventional simulators.
4. Synthesize the design for the target chip.
5. Test on the target board.

Done. The only "writing" of code is the design and the test bench for simulation. Everything else is a working tool.

--

Rick C.

-++ Get 1,000 miles of free Supercharging
-++ Tesla referral code - https://ts.la/richard11209

Re: FPGA4th

<e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20610&group=comp.lang.forth#20610

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:1a0c:b0:6cb:e0a3:f889 with SMTP id bk12-20020a05620a1a0c00b006cbe0a3f889mr12977997qkb.538.1665415180255;
Mon, 10 Oct 2022 08:19:40 -0700 (PDT)
X-Received: by 2002:a25:1605:0:b0:6bd:284c:62b with SMTP id
5-20020a251605000000b006bd284c062bmr18827674ybw.391.1665415180010; Mon, 10
Oct 2022 08:19:40 -0700 (PDT)
Path: i2pn2.org!i2pn.org!aioe.org!news.mixmin.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Mon, 10 Oct 2022 08:19:39 -0700 (PDT)
In-Reply-To: <6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Mon, 10 Oct 2022 15:19:40 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: Jurgen Pitaske - Mon, 10 Oct 2022 15:19 UTC

On Monday, 10 October 2022 at 08:35:40 UTC+1, gnuarm.del...@gmail.com wrote:
> On Monday, October 10, 2022 at 2:23:05 AM UTC-4, jpit...@gmail.com wrote:
> > On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro....@gmail.com wrote:
> > > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > > jrh
> > > > > > > Looking forward to it.
> > > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > > >
> > > > > > > An idea crossed my mind:
> > > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > > And this would help with where to post it.
> > > > > > > http://www.forth.org/svfig/
> > > > > > Just for people who might not know the context:
> > > > > >
> > > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > > See the link to Testra where it has been done already.
> > > > > > http://testra.com/Forth/VHDL.htm
> > > > > >
> > > > > > And hopefully there is more soon from Testra posted here..
> > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > >
> > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > >
> > > > > --
> > > > >
> > > > > Rick C.
> > > > >
> > > > > --+ Get 1,000 miles of free Supercharging
> > > > > --+ Tesla referral code - https://ts.la/richard11209
> > > > You are proving again that you attention span is zero - or is it your reading capability?
> > > >
> > > > Designing logic with the Forth VHDL
> > > >
> > > > 1. Write a software simulation of the design.
> > > > 2. Test the design.
> > > > 3. Convert the software simulation into a hardware definition.
> > > > 4. Compile the hardware definition into logic equations.
> > > > 5. Fit the logic equations into the device.
> > > > 6. Verify that the logic equations work correctly.
> > > > 7. Route the signals and assign the I/O pins.
> > > > 8. Convert the routed design into a fusemap.
> > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
> > >
> > > I can't tell what you are talking about from this description,
> > > but it sounds like it is for CPLDs, rather than FPGAs.
> > Maybe we are hitting a language barrier.
> > >
> > > --
> > >
> > > Rick C.
> > >
> > > -+- Get 1,000 miles of free Supercharging
> > > -+- Tesla referral code - https://ts.la/richard11209
> > The linfo at Testra clearly states:
> >
> > Using Forth as a VHDL ( Virtual Hardware Definition Language )
> > John R. Hart, Testra Corporation
> Ah, so he's bastardizing the term VHDL. That's normally used as the name of a language for programming digital logic (VHSIC Hardware Description Language).
> > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > Hugh Aguilar was involved there.
> >
> > And with all of the knowledge and experience here or elsewhere
> > it could probably be ported to
> > other FPGA families.
> >
> > You have all of the advantages of Forth,
> > and no need for the overhead of FPGA tools as I understand.
> It's not quite that simple. To target an FPGA device, you have to know the bitstream format. The vendors don't typically provide that information. Some people have reverse engineered a very few of the Lattice parts. I was not aware that the X02-7000 had been reverse engineered, but it's possible. Figuring out the bit map for other devices is not at all simple. It's tons of work.
> > I am surprised this has not been of interest for the last many years,
> > as the info on the Testra website was always there.
> Open source tools have been of interest and exist. But not for many parts, for the reasons I've explained.
> > But now we hopefully get the opportunity to see a full example.
> "Full example"???
>
> It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will simply yawn.
>
> I don't know how accurate your list of steps in using the tool is, but it sounds to me like a lot more work than the use of typical FPGA tools. Here's the steps required for designing FPGAs.
>
> 1. Write the design in VHDL or Verilog
> 2. Write a test bench for the simulation stimulus and error checking.
> 3. Simulate the design using conventional simulators.
> 4. Synthesize the design for the target chip.
> 5. Test on the target board.
>
> Done. The only "writing" of code is the design and the test bench for simulation. Everything else is a working tool.
>
> --
>
> Rick C.
>
> -++ Get 1,000 miles of free Supercharging
> -++ Tesla referral code - https://ts.la/richard11209

I am sorry, but your post does not make sense to me.

Testra use this setup for many years in their products
and it must make sense there,
otherwise they would just use the standard Lattice tools.

If it is not relevant to you - fine - I never asked you.
Just like asking an experienced C programmer - why not Forth ...

Re: FPGA4th

<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20613&group=comp.lang.forth#20613

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:4155:b0:6ce:3e56:c1e5 with SMTP id k21-20020a05620a415500b006ce3e56c1e5mr13620949qko.350.1665417152244;
Mon, 10 Oct 2022 08:52:32 -0700 (PDT)
X-Received: by 2002:a25:e6d6:0:b0:6be:5f00:cccf with SMTP id
d205-20020a25e6d6000000b006be5f00cccfmr18288406ybh.93.1665417151933; Mon, 10
Oct 2022 08:52:31 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Mon, 10 Oct 2022 08:52:31 -0700 (PDT)
In-Reply-To: <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2605:ba00:3208:c08:95dc:122f:b286:bd4f;
posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 2605:ba00:3208:c08:95dc:122f:b286:bd4f
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com>
Subject: Re: FPGA4th
From: gnuarm.d...@gmail.com (Lorem Ipsum)
Injection-Date: Mon, 10 Oct 2022 15:52:32 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 10083
 by: Lorem Ipsum - Mon, 10 Oct 2022 15:52 UTC

On Monday, October 10, 2022 at 11:19:41 AM UTC-4, jpit...@gmail.com wrote:
> On Monday, 10 October 2022 at 08:35:40 UTC+1, gnuarm.del...@gmail.com wrote:
> > On Monday, October 10, 2022 at 2:23:05 AM UTC-4, jpit...@gmail.com wrote:
> > > On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > > > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit....@gmail.com wrote:
> > > > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro....@gmail.com wrote:
> > > > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > > > jrh
> > > > > > > > Looking forward to it.
> > > > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > > > >
> > > > > > > > An idea crossed my mind:
> > > > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > > > And this would help with where to post it.
> > > > > > > > http://www.forth.org/svfig/
> > > > > > > Just for people who might not know the context:
> > > > > > >
> > > > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > > > See the link to Testra where it has been done already.
> > > > > > > http://testra.com/Forth/VHDL.htm
> > > > > > >
> > > > > > > And hopefully there is more soon from Testra posted here..
> > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > >
> > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > >
> > > > > > --
> > > > > >
> > > > > > Rick C.
> > > > > >
> > > > > > --+ Get 1,000 miles of free Supercharging
> > > > > > --+ Tesla referral code - https://ts.la/richard11209
> > > > > You are proving again that you attention span is zero - or is it your reading capability?
> > > > >
> > > > > Designing logic with the Forth VHDL
> > > > >
> > > > > 1. Write a software simulation of the design.
> > > > > 2. Test the design.
> > > > > 3. Convert the software simulation into a hardware definition.
> > > > > 4. Compile the hardware definition into logic equations.
> > > > > 5. Fit the logic equations into the device.
> > > > > 6. Verify that the logic equations work correctly.
> > > > > 7. Route the signals and assign the I/O pins.
> > > > > 8. Convert the routed design into a fusemap.
> > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
> > > >
> > > > I can't tell what you are talking about from this description,
> > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > Maybe we are hitting a language barrier.
> > > >
> > > > --
> > > >
> > > > Rick C.
> > > >
> > > > -+- Get 1,000 miles of free Supercharging
> > > > -+- Tesla referral code - https://ts.la/richard11209
> > > The linfo at Testra clearly states:
> > >
> > > Using Forth as a VHDL ( Virtual Hardware Definition Language )
> > > John R. Hart, Testra Corporation
> > Ah, so he's bastardizing the term VHDL. That's normally used as the name of a language for programming digital logic (VHSIC Hardware Description Language).
> > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > Hugh Aguilar was involved there.
> > >
> > > And with all of the knowledge and experience here or elsewhere
> > > it could probably be ported to
> > > other FPGA families.
> > >
> > > You have all of the advantages of Forth,
> > > and no need for the overhead of FPGA tools as I understand.
> > It's not quite that simple. To target an FPGA device, you have to know the bitstream format. The vendors don't typically provide that information. Some people have reverse engineered a very few of the Lattice parts. I was not aware that the X02-7000 had been reverse engineered, but it's possible.. Figuring out the bit map for other devices is not at all simple. It's tons of work.
> > > I am surprised this has not been of interest for the last many years,
> > > as the info on the Testra website was always there.
> > Open source tools have been of interest and exist. But not for many parts, for the reasons I've explained.
> > > But now we hopefully get the opportunity to see a full example.
> > "Full example"???
> >
> > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will simply yawn.
> >
> > I don't know how accurate your list of steps in using the tool is, but it sounds to me like a lot more work than the use of typical FPGA tools. Here's the steps required for designing FPGAs.
> >
> > 1. Write the design in VHDL or Verilog
> > 2. Write a test bench for the simulation stimulus and error checking.
> > 3. Simulate the design using conventional simulators.
> > 4. Synthesize the design for the target chip.
> > 5. Test on the target board.
> >
> > Done. The only "writing" of code is the design and the test bench for simulation. Everything else is a working tool.
> >
> > --
> >
> > Rick C.
> >
> > -++ Get 1,000 miles of free Supercharging
> > -++ Tesla referral code - https://ts.la/richard11209
> I am sorry, but your post does not make sense to me.
>
> Testra use this setup for many years in their products
> and it must make sense there,
> otherwise they would just use the standard Lattice tools.
>
> If it is not relevant to you - fine - I never asked you.
> Just like asking an experienced C programmer - why not Forth ...

LOL Yes, if one guy working in a corner of the room is using it, then it must be not only good, but GREAT!

The millions of users of VHDL and Verilog must have it wrong.

One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.

In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use.

Thank you for the information.

--

Rick C.

+-- Get 1,000 miles of free Supercharging
+-- Tesla referral code - https://ts.la/richard11209

Re: FPGA4th

<2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20629&group=comp.lang.forth#20629

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a37:38f:0:b0:6ea:2f84:5667 with SMTP id 137-20020a37038f000000b006ea2f845667mr13212662qkd.578.1665496488337;
Tue, 11 Oct 2022 06:54:48 -0700 (PDT)
X-Received: by 2002:a81:b01:0:b0:354:bbb5:cb08 with SMTP id
1-20020a810b01000000b00354bbb5cb08mr22415115ywl.34.1665496488042; Tue, 11 Oct
2022 06:54:48 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 11 Oct 2022 06:54:47 -0700 (PDT)
In-Reply-To: <5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 11 Oct 2022 13:54:48 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 10615
 by: Jurgen Pitaske - Tue, 11 Oct 2022 13:54 UTC

On Monday, 10 October 2022 at 16:52:33 UTC+1, gnuarm.del...@gmail.com wrote:
> On Monday, October 10, 2022 at 11:19:41 AM UTC-4, jpit...@gmail.com wrote:
> > On Monday, 10 October 2022 at 08:35:40 UTC+1, gnuarm.del...@gmail.com wrote:
> > > On Monday, October 10, 2022 at 2:23:05 AM UTC-4, jpit...@gmail.com wrote:
> > > > On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro....@gmail.com wrote:
> > > > > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > > > > jrh
> > > > > > > > > Looking forward to it.
> > > > > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > > > > >
> > > > > > > > > An idea crossed my mind:
> > > > > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > > > > And this would help with where to post it.
> > > > > > > > > http://www.forth.org/svfig/
> > > > > > > > Just for people who might not know the context:
> > > > > > > >
> > > > > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > > > > See the link to Testra where it has been done already.
> > > > > > > > http://testra.com/Forth/VHDL.htm
> > > > > > > >
> > > > > > > > And hopefully there is more soon from Testra posted here..
> > > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > > >
> > > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > > >
> > > > > > > --
> > > > > > >
> > > > > > > Rick C.
> > > > > > >
> > > > > > > --+ Get 1,000 miles of free Supercharging
> > > > > > > --+ Tesla referral code - https://ts.la/richard11209
> > > > > > You are proving again that you attention span is zero - or is it your reading capability?
> > > > > >
> > > > > > Designing logic with the Forth VHDL
> > > > > >
> > > > > > 1. Write a software simulation of the design.
> > > > > > 2. Test the design.
> > > > > > 3. Convert the software simulation into a hardware definition.
> > > > > > 4. Compile the hardware definition into logic equations.
> > > > > > 5. Fit the logic equations into the device.
> > > > > > 6. Verify that the logic equations work correctly.
> > > > > > 7. Route the signals and assign the I/O pins.
> > > > > > 8. Convert the routed design into a fusemap.
> > > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
> > > > >
> > > > > I can't tell what you are talking about from this description,
> > > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > > Maybe we are hitting a language barrier.
> > > > >
> > > > > --
> > > > >
> > > > > Rick C.
> > > > >
> > > > > -+- Get 1,000 miles of free Supercharging
> > > > > -+- Tesla referral code - https://ts.la/richard11209
> > > > The linfo at Testra clearly states:
> > > >
> > > > Using Forth as a VHDL ( Virtual Hardware Definition Language )
> > > > John R. Hart, Testra Corporation
> > > Ah, so he's bastardizing the term VHDL. That's normally used as the name of a language for programming digital logic (VHSIC Hardware Description Language).
> > > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > > Hugh Aguilar was involved there.
> > > >
> > > > And with all of the knowledge and experience here or elsewhere
> > > > it could probably be ported to
> > > > other FPGA families.
> > > >
> > > > You have all of the advantages of Forth,
> > > > and no need for the overhead of FPGA tools as I understand.
> > > It's not quite that simple. To target an FPGA device, you have to know the bitstream format. The vendors don't typically provide that information. Some people have reverse engineered a very few of the Lattice parts. I was not aware that the X02-7000 had been reverse engineered, but it's possible. Figuring out the bit map for other devices is not at all simple. It's tons of work.
> > > > I am surprised this has not been of interest for the last many years,
> > > > as the info on the Testra website was always there.
> > > Open source tools have been of interest and exist. But not for many parts, for the reasons I've explained.
> > > > But now we hopefully get the opportunity to see a full example.
> > > "Full example"???
> > >
> > > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will simply yawn.
> > >
> > > I don't know how accurate your list of steps in using the tool is, but it sounds to me like a lot more work than the use of typical FPGA tools. Here's the steps required for designing FPGAs.
> > >
> > > 1. Write the design in VHDL or Verilog
> > > 2. Write a test bench for the simulation stimulus and error checking.
> > > 3. Simulate the design using conventional simulators.
> > > 4. Synthesize the design for the target chip.
> > > 5. Test on the target board.
> > >
> > > Done. The only "writing" of code is the design and the test bench for simulation. Everything else is a working tool.
> > >
> > > --
> > >
> > > Rick C.
> > >
> > > -++ Get 1,000 miles of free Supercharging
> > > -++ Tesla referral code - https://ts.la/richard11209
> > I am sorry, but your post does not make sense to me.
> >
> > Testra use this setup for many years in their products
> > and it must make sense there,
> > otherwise they would just use the standard Lattice tools.
> >
> > If it is not relevant to you - fine - I never asked you.
> > Just like asking an experienced C programmer - why not Forth ...
> LOL Yes, if one guy working in a corner of the room is using it, then it must be not only good, but GREAT!
>
> The millions of users of VHDL and Verilog must have it wrong.
>
> One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.
>
> In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use.
>
> Thank you for the information.
>
> --
>
> Rick C.
>
> +-- Get 1,000 miles of free Supercharging
> +-- Tesla referral code - https://ts.la/richard11209


Click here to read the complete article
Re: FPGA4th

<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20632&group=comp.lang.forth#20632

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ac8:7f0b:0:b0:392:4001:64b3 with SMTP id f11-20020ac87f0b000000b00392400164b3mr19074934qtk.132.1665499613722;
Tue, 11 Oct 2022 07:46:53 -0700 (PDT)
X-Received: by 2002:a25:b883:0:b0:6bc:6352:5f74 with SMTP id
w3-20020a25b883000000b006bc63525f74mr23925147ybj.166.1665499613335; Tue, 11
Oct 2022 07:46:53 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 11 Oct 2022 07:46:53 -0700 (PDT)
In-Reply-To: <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2605:ba00:3208:c08:b15f:2382:deaf:9660;
posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 2605:ba00:3208:c08:b15f:2382:deaf:9660
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com>
Subject: Re: FPGA4th
From: gnuarm.d...@gmail.com (Lorem Ipsum)
Injection-Date: Tue, 11 Oct 2022 14:46:53 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 12875
 by: Lorem Ipsum - Tue, 11 Oct 2022 14:46 UTC

On Tuesday, October 11, 2022 at 9:54:49 AM UTC-4, jpit...@gmail.com wrote:
> On Monday, 10 October 2022 at 16:52:33 UTC+1, gnuarm.del...@gmail.com wrote:
> > On Monday, October 10, 2022 at 11:19:41 AM UTC-4, jpit...@gmail.com wrote:
> > > On Monday, 10 October 2022 at 08:35:40 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > On Monday, October 10, 2022 at 2:23:05 AM UTC-4, jpit...@gmail.com wrote:
> > > > > On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro...@gmail.com wrote:
> > > > > > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > > > > > jrh
> > > > > > > > > > Looking forward to it.
> > > > > > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > > > > > >
> > > > > > > > > > An idea crossed my mind:
> > > > > > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > > > > > And this would help with where to post it.
> > > > > > > > > > http://www.forth.org/svfig/
> > > > > > > > > Just for people who might not know the context:
> > > > > > > > >
> > > > > > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > > > > > See the link to Testra where it has been done already.
> > > > > > > > > http://testra.com/Forth/VHDL.htm
> > > > > > > > >
> > > > > > > > > And hopefully there is more soon from Testra posted here...
> > > > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > > > >
> > > > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > > > >
> > > > > > > > --
> > > > > > > >
> > > > > > > > Rick C.
> > > > > > > >
> > > > > > > > --+ Get 1,000 miles of free Supercharging
> > > > > > > > --+ Tesla referral code - https://ts.la/richard11209
> > > > > > > You are proving again that you attention span is zero - or is it your reading capability?
> > > > > > >
> > > > > > > Designing logic with the Forth VHDL
> > > > > > >
> > > > > > > 1. Write a software simulation of the design.
> > > > > > > 2. Test the design.
> > > > > > > 3. Convert the software simulation into a hardware definition..
> > > > > > > 4. Compile the hardware definition into logic equations.
> > > > > > > 5. Fit the logic equations into the device.
> > > > > > > 6. Verify that the logic equations work correctly.
> > > > > > > 7. Route the signals and assign the I/O pins.
> > > > > > > 8. Convert the routed design into a fusemap.
> > > > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
> > > > > >
> > > > > > I can't tell what you are talking about from this description,
> > > > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > > > Maybe we are hitting a language barrier.
> > > > > >
> > > > > > --
> > > > > >
> > > > > > Rick C.
> > > > > >
> > > > > > -+- Get 1,000 miles of free Supercharging
> > > > > > -+- Tesla referral code - https://ts.la/richard11209
> > > > > The linfo at Testra clearly states:
> > > > >
> > > > > Using Forth as a VHDL ( Virtual Hardware Definition Language )
> > > > > John R. Hart, Testra Corporation
> > > > Ah, so he's bastardizing the term VHDL. That's normally used as the name of a language for programming digital logic (VHSIC Hardware Description Language).
> > > > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > > > Hugh Aguilar was involved there.
> > > > >
> > > > > And with all of the knowledge and experience here or elsewhere
> > > > > it could probably be ported to
> > > > > other FPGA families.
> > > > >
> > > > > You have all of the advantages of Forth,
> > > > > and no need for the overhead of FPGA tools as I understand.
> > > > It's not quite that simple. To target an FPGA device, you have to know the bitstream format. The vendors don't typically provide that information. Some people have reverse engineered a very few of the Lattice parts. I was not aware that the X02-7000 had been reverse engineered, but it's possible. Figuring out the bit map for other devices is not at all simple. It's tons of work.
> > > > > I am surprised this has not been of interest for the last many years,
> > > > > as the info on the Testra website was always there.
> > > > Open source tools have been of interest and exist. But not for many parts, for the reasons I've explained.
> > > > > But now we hopefully get the opportunity to see a full example.
> > > > "Full example"???
> > > >
> > > > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will simply yawn.
> > > >
> > > > I don't know how accurate your list of steps in using the tool is, but it sounds to me like a lot more work than the use of typical FPGA tools.. Here's the steps required for designing FPGAs.
> > > >
> > > > 1. Write the design in VHDL or Verilog
> > > > 2. Write a test bench for the simulation stimulus and error checking.
> > > > 3. Simulate the design using conventional simulators.
> > > > 4. Synthesize the design for the target chip.
> > > > 5. Test on the target board.
> > > >
> > > > Done. The only "writing" of code is the design and the test bench for simulation. Everything else is a working tool.
> > > >
> > > > --
> > > >
> > > > Rick C.
> > > >
> > > > -++ Get 1,000 miles of free Supercharging
> > > > -++ Tesla referral code - https://ts.la/richard11209
> > > I am sorry, but your post does not make sense to me.
> > >
> > > Testra use this setup for many years in their products
> > > and it must make sense there,
> > > otherwise they would just use the standard Lattice tools.
> > >
> > > If it is not relevant to you - fine - I never asked you.
> > > Just like asking an experienced C programmer - why not Forth ...
> > LOL Yes, if one guy working in a corner of the room is using it, then it must be not only good, but GREAT!
> >
> > The millions of users of VHDL and Verilog must have it wrong.
> >
> > One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.
> >
> > In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use.
> >
> > Thank you for the information.
> >
> > --
> >
> > Rick C.
> >
> > +-- Get 1,000 miles of free Supercharging
> > +-- Tesla referral code - https://ts.la/richard11209
> It seems there are others working in the corner that are interested in this subject,
> see about 46.00 onwards, e.g. gelforth
> https://www.youtube.com/watch?v=ASgBoKisWac


Click here to read the complete article
Re: FPGA4th

<2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20633&group=comp.lang.forth#20633

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ac8:5bd5:0:b0:394:4369:25fd with SMTP id b21-20020ac85bd5000000b00394436925fdmr19060472qtb.36.1665499974089;
Tue, 11 Oct 2022 07:52:54 -0700 (PDT)
X-Received: by 2002:a0d:d7d7:0:b0:360:1793:2c6b with SMTP id
z206-20020a0dd7d7000000b0036017932c6bmr20125234ywd.175.1665499973780; Tue, 11
Oct 2022 07:52:53 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 11 Oct 2022 07:52:53 -0700 (PDT)
In-Reply-To: <a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Tue, 11 Oct 2022 14:52:54 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 13577
 by: Jurgen Pitaske - Tue, 11 Oct 2022 14:52 UTC

On Tuesday, 11 October 2022 at 15:46:54 UTC+1, gnuarm.del...@gmail.com wrote:
> On Tuesday, October 11, 2022 at 9:54:49 AM UTC-4, jpit...@gmail.com wrote:
> > On Monday, 10 October 2022 at 16:52:33 UTC+1, gnuarm.del...@gmail.com wrote:
> > > On Monday, October 10, 2022 at 11:19:41 AM UTC-4, jpit...@gmail.com wrote:
> > > > On Monday, 10 October 2022 at 08:35:40 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > On Monday, October 10, 2022 at 2:23:05 AM UTC-4, jpit...@gmail.com wrote:
> > > > > > On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > > > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail..com wrote:
> > > > > > > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > > > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro....@gmail.com wrote:
> > > > > > > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > > > > > > jrh
> > > > > > > > > > > Looking forward to it.
> > > > > > > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > > > > > > >
> > > > > > > > > > > An idea crossed my mind:
> > > > > > > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > > > > > > And this would help with where to post it.
> > > > > > > > > > > http://www.forth.org/svfig/
> > > > > > > > > > Just for people who might not know the context:
> > > > > > > > > >
> > > > > > > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > > > > > > See the link to Testra where it has been done already.
> > > > > > > > > > http://testra.com/Forth/VHDL.htm
> > > > > > > > > >
> > > > > > > > > > And hopefully there is more soon from Testra posted here..
> > > > > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > > > > >
> > > > > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > > > > >
> > > > > > > > > --
> > > > > > > > >
> > > > > > > > > Rick C.
> > > > > > > > >
> > > > > > > > > --+ Get 1,000 miles of free Supercharging
> > > > > > > > > --+ Tesla referral code - https://ts.la/richard11209
> > > > > > > > You are proving again that you attention span is zero - or is it your reading capability?
> > > > > > > >
> > > > > > > > Designing logic with the Forth VHDL
> > > > > > > >
> > > > > > > > 1. Write a software simulation of the design.
> > > > > > > > 2. Test the design.
> > > > > > > > 3. Convert the software simulation into a hardware definition.
> > > > > > > > 4. Compile the hardware definition into logic equations.
> > > > > > > > 5. Fit the logic equations into the device.
> > > > > > > > 6. Verify that the logic equations work correctly.
> > > > > > > > 7. Route the signals and assign the I/O pins.
> > > > > > > > 8. Convert the routed design into a fusemap.
> > > > > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on.. In fact, your description doesn't seem to relate to VHDL at all.
> > > > > > >
> > > > > > > I can't tell what you are talking about from this description,
> > > > > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > > > > Maybe we are hitting a language barrier.
> > > > > > >
> > > > > > > --
> > > > > > >
> > > > > > > Rick C.
> > > > > > >
> > > > > > > -+- Get 1,000 miles of free Supercharging
> > > > > > > -+- Tesla referral code - https://ts.la/richard11209
> > > > > > The linfo at Testra clearly states:
> > > > > >
> > > > > > Using Forth as a VHDL ( Virtual Hardware Definition Language )
> > > > > > John R. Hart, Testra Corporation
> > > > > Ah, so he's bastardizing the term VHDL. That's normally used as the name of a language for programming digital logic (VHSIC Hardware Description Language).
> > > > > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > > > > Hugh Aguilar was involved there.
> > > > > >
> > > > > > And with all of the knowledge and experience here or elsewhere
> > > > > > it could probably be ported to
> > > > > > other FPGA families.
> > > > > >
> > > > > > You have all of the advantages of Forth,
> > > > > > and no need for the overhead of FPGA tools as I understand.
> > > > > It's not quite that simple. To target an FPGA device, you have to know the bitstream format. The vendors don't typically provide that information. Some people have reverse engineered a very few of the Lattice parts. I was not aware that the X02-7000 had been reverse engineered, but it's possible. Figuring out the bit map for other devices is not at all simple. It's tons of work.
> > > > > > I am surprised this has not been of interest for the last many years,
> > > > > > as the info on the Testra website was always there.
> > > > > Open source tools have been of interest and exist. But not for many parts, for the reasons I've explained.
> > > > > > But now we hopefully get the opportunity to see a full example.
> > > > > "Full example"???
> > > > >
> > > > > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will simply yawn.
> > > > >
> > > > > I don't know how accurate your list of steps in using the tool is, but it sounds to me like a lot more work than the use of typical FPGA tools. Here's the steps required for designing FPGAs.
> > > > >
> > > > > 1. Write the design in VHDL or Verilog
> > > > > 2. Write a test bench for the simulation stimulus and error checking.
> > > > > 3. Simulate the design using conventional simulators.
> > > > > 4. Synthesize the design for the target chip.
> > > > > 5. Test on the target board.
> > > > >
> > > > > Done. The only "writing" of code is the design and the test bench for simulation. Everything else is a working tool.
> > > > >
> > > > > --
> > > > >
> > > > > Rick C.
> > > > >
> > > > > -++ Get 1,000 miles of free Supercharging
> > > > > -++ Tesla referral code - https://ts.la/richard11209
> > > > I am sorry, but your post does not make sense to me.
> > > >
> > > > Testra use this setup for many years in their products
> > > > and it must make sense there,
> > > > otherwise they would just use the standard Lattice tools.
> > > >
> > > > If it is not relevant to you - fine - I never asked you.
> > > > Just like asking an experienced C programmer - why not Forth ...
> > > LOL Yes, if one guy working in a corner of the room is using it, then it must be not only good, but GREAT!
> > >
> > > The millions of users of VHDL and Verilog must have it wrong.
> > >
> > > One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.
> > >
> > > In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use.
> > >
> > > Thank you for the information.
> > >
> > > --
> > >
> > > Rick C.
> > >
> > > +-- Get 1,000 miles of free Supercharging
> > > +-- Tesla referral code - https://ts.la/richard11209
> > It seems there are others working in the corner that are interested in this subject,
> > see about 46.00 onwards, e.g. gelforth
> > https://www.youtube.com/watch?v=ASgBoKisWac
> "Others"??? You mean "other", I think.
>
> Trying to use Forth as the language to compose logic is solving a problem that doesn't exist. We have Verilog and VHDL as the mainstream languages for logic hardware design and they work well. There are efforts to use C for hardware logic design, which is seldom used. The main justification for using C is to have a common language for hardware and software, so the dividing line is easily moved, allowing one system design to be implemented in different ways with different performance levels. In reality, this is not at all simple to do. Hardware and software require interfaces. When you change the dividing line in the code for that interface and you have to redesign everything about that interface. So it is seldom used this way.
>
> I stand by my statement that using Forth for both software and hardware design is of little value. The fact that you found one guy, who, six years ago, worked on using Forth as a hardware description language, does not mean it is a good idea. If that were true, why has nothing happened with it in the last six years?
>
> People like to think that applying Forth to a problem, will make that problem simple to solve. Digital hardware logic design is not a simple task, not because of the tools used. The tools are complicated because the problem is complicated. The existing tools have simplified the work by hiding the complexity as much as possible. This works 99.9% of the time, allowing work to proceed with reasonable speed and accuracy. I can't see where Forth is going to help this at all.
>
> --
>
> Rick C.
>
> +-+ Get 1,000 miles of free Supercharging
> +-+ Tesla referral code - https://ts.la/richard11209


Click here to read the complete article
Re: FPGA4th

<214b3d07-0123-423c-801e-c410dcafc0e7n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20640&group=comp.lang.forth#20640

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:4726:b0:6e7:f8ca:86ec with SMTP id bs38-20020a05620a472600b006e7f8ca86ecmr16755880qkb.96.1665518468371;
Tue, 11 Oct 2022 13:01:08 -0700 (PDT)
X-Received: by 2002:a25:344d:0:b0:6bd:2d0c:8dfd with SMTP id
b74-20020a25344d000000b006bd2d0c8dfdmr24550258yba.104.1665518468172; Tue, 11
Oct 2022 13:01:08 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 11 Oct 2022 13:01:07 -0700 (PDT)
In-Reply-To: <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=75.237.54.191; posting-account=_dwjNwoAAAA4hFltKxWAwHSTsQHdOMuM
NNTP-Posting-Host: 75.237.54.191
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <214b3d07-0123-423c-801e-c410dcafc0e7n@googlegroups.com>
Subject: Re: FPGA4th
From: johnroge...@gmail.com (John Hart)
Injection-Date: Tue, 11 Oct 2022 20:01:08 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3200
 by: John Hart - Tue, 11 Oct 2022 20:01 UTC

> > > > > > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will...
> Well, there seems to be only "ONE PERSON" who does not like this.

Jurgen,

As I read Rick's response I had to smile. Not knowing it, he summed up the reasons why authoritarian systems don't work. The reason Forth is useful is its extensibility; can be turned into any tool one wants, including a verilog code generator. Then, even if the result isn't perfect, one can edit the output to one's heart's content, which of course is what I do.

A more difficult aspect of logic design is verifying it works. To do so one needs a reference to compare with. Forth, being extensible, is the ideal tool, especially when designing a Forth processor.

To create the new processor's software model took about a week, and it was easy to modify as the design progressed. The SW model provides the data needed by the hardware simulator to verify the verilog code is working. Rapid specific feedback is the key to perfecting complex logic designs.

jrh

Re: FPGA4th

<4afa9ee4-5a54-440f-8ad6-2105bd7c7aabn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20647&group=comp.lang.forth#20647

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:622a:1c1:b0:39a:9da4:1177 with SMTP id t1-20020a05622a01c100b0039a9da41177mr10415660qtw.11.1665555809410;
Tue, 11 Oct 2022 23:23:29 -0700 (PDT)
X-Received: by 2002:a25:344d:0:b0:6bd:2d0c:8dfd with SMTP id
b74-20020a25344d000000b006bd2d0c8dfdmr26315781yba.104.1665555809153; Tue, 11
Oct 2022 23:23:29 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border-2.nntp.ord.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Tue, 11 Oct 2022 23:23:28 -0700 (PDT)
In-Reply-To: <214b3d07-0123-423c-801e-c410dcafc0e7n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
<214b3d07-0123-423c-801e-c410dcafc0e7n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <4afa9ee4-5a54-440f-8ad6-2105bd7c7aabn@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Wed, 12 Oct 2022 06:23:29 +0000
Content-Type: text/plain; charset="UTF-8"
Lines: 47
 by: Jurgen Pitaske - Wed, 12 Oct 2022 06:23 UTC

On Tuesday, 11 October 2022 at 21:01:09 UTC+1, johnro...@gmail.com wrote:
> > > > > > > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will...
> > Well, there seems to be only "ONE PERSON" who does not like this.

> Jurgen,
>
> As I read Rick's response I had to smile.
> Not knowing it, he summed up the reasons why authoritarian systems don't work.
> The reason Forth is useful is its extensibility;
> can be turned into any tool one wants, including a verilog code generator.
> Then, even if the result isn't perfect, one can edit the output to one's heart's content, which of course is what I do.
>
> A more difficult aspect of logic design is verifying it works.
> To do so one needs a reference to compare with. Forth, being extensible, is the ideal tool, especially when designing a Forth processor.
>
> To create the new processor's software model took about a week,
> and it was easy to modify as the design progressed.
> The SW model provides the data needed by the hardware simulator to verify the verilog code is working.
> Rapid specific feedback is the key to perfecting complex logic designs.
>
> jrh

What a great post John.
You just sum up what this tool Forth can be adapted to for your requirements.
And you help to spread the message what your implementation can be used for as well.

Will it replace VHDL? No
Will it replace Verilog - probably not.
But it is an additional tool as you use it and describe it.
I have just enough knowledge in this area to see it can be useful.
And when I saw it the first time I was impressed.
I hope you will post more about your approach
so others can understand it better and take advantage of it in their work.

Just an idea:
I could convince Steve Teal to write the Minimum RISC in VHDL.
And as a bonus he added an eForth.
https://github.com/Steve-Teal/eforth-misc16

How difficult would it be to replicate this design using your tools and Forth as VHDL?
And use the same FPGA you use now?

This would be a way to show others a full design,
using standard tools on one side,
and then compare it with your tools.
Your tools could then probably more easily show how to add additional IOs.

Thanks again - and can we have more please.

Re: FPGA4th

<481c326d-e982-435a-beab-091f001b1ea2n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20699&group=comp.lang.forth#20699

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:4398:b0:6ee:be9f:435c with SMTP id a24-20020a05620a439800b006eebe9f435cmr3646485qkp.35.1665892730021;
Sat, 15 Oct 2022 20:58:50 -0700 (PDT)
X-Received: by 2002:a25:da08:0:b0:6be:34b9:55e with SMTP id
n8-20020a25da08000000b006be34b9055emr4225532ybf.308.1665892729820; Sat, 15
Oct 2022 20:58:49 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sat, 15 Oct 2022 20:58:49 -0700 (PDT)
In-Reply-To: <214b3d07-0123-423c-801e-c410dcafc0e7n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=159.196.184.30; posting-account=WyLDIgoAAAAL9-lKxDxWp0Afty5A1XnH
NNTP-Posting-Host: 159.196.184.30
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
<214b3d07-0123-423c-801e-c410dcafc0e7n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <481c326d-e982-435a-beab-091f001b1ea2n@googlegroups.com>
Subject: Re: FPGA4th
From: waynemor...@gmail.com (Wayne morellini)
Injection-Date: Sun, 16 Oct 2022 03:58:50 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3419
 by: Wayne morellini - Sun, 16 Oct 2022 03:58 UTC

On Wednesday, October 12, 2022 at 6:01:09 AM UTC+10, johnro...@gmail.com wrote:
> > > > > > > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will...
> > Well, there seems to be only "ONE PERSON" who does not like this.
> Jurgen,
>
> As I read Rick's response I had to smile. Not knowing it, he summed up the reasons why authoritarian systems don't work. The reason Forth is useful is its extensibility; can be turned into any tool one wants, including a verilog code generator. Then, even if the result isn't perfect, one can edit the output to one's heart's content, which of course is what I do.
>
> A more difficult aspect of logic design is verifying it works. To do so one needs a reference to compare with. Forth, being extensible, is the ideal tool, especially when designing a Forth processor.
>
> To create the new processor's software model took about a week, and it was easy to modify as the design progressed. The SW model provides the data needed by the hardware simulator to verify the verilog code is working. Rapid specific feedback is the key to perfecting complex logic designs.
>
> jrh

John, thank you. Looks interesting.

Re: FPGA4th

<a73e757f-904d-459a-be62-d86737d7f43bn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20703&group=comp.lang.forth#20703

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ac8:5982:0:b0:395:3669:eb3c with SMTP id e2-20020ac85982000000b003953669eb3cmr5943401qte.213.1665943403673;
Sun, 16 Oct 2022 11:03:23 -0700 (PDT)
X-Received: by 2002:a25:344d:0:b0:6bd:2d0c:8dfd with SMTP id
b74-20020a25344d000000b006bd2d0c8dfdmr6264533yba.104.1665943403414; Sun, 16
Oct 2022 11:03:23 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 16 Oct 2022 11:03:23 -0700 (PDT)
In-Reply-To: <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=199.48.66.218; posting-account=zkoivgoAAABqROvHfKJPu3S9NWXITnSr
NNTP-Posting-Host: 199.48.66.218
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a73e757f-904d-459a-be62-d86737d7f43bn@googlegroups.com>
Subject: Re: FPGA4th
From: myronpli...@gmail.com (Myron Plichota)
Injection-Date: Sun, 16 Oct 2022 18:03:23 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 14660
 by: Myron Plichota - Sun, 16 Oct 2022 18:03 UTC

On Tuesday, October 11, 2022 at 10:52:55 AM UTC-4, jpit...@gmail.com wrote:
> On Tuesday, 11 October 2022 at 15:46:54 UTC+1, gnuarm.del...@gmail.com wrote:
> > On Tuesday, October 11, 2022 at 9:54:49 AM UTC-4, jpit...@gmail.com wrote:
> > > On Monday, 10 October 2022 at 16:52:33 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > On Monday, October 10, 2022 at 11:19:41 AM UTC-4, jpit...@gmail.com wrote:
> > > > > On Monday, 10 October 2022 at 08:35:40 UTC+1, gnuarm.del...@gmail..com wrote:
> > > > > > On Monday, October 10, 2022 at 2:23:05 AM UTC-4, jpit...@gmail.com wrote:
> > > > > > > On Sunday, 9 October 2022 at 20:15:22 UTC+1, gnuarm.del...@gmail.com wrote:
> > > > > > > > On Sunday, October 9, 2022 at 1:54:54 PM UTC-4, jpit...@gmail.com wrote:
> > > > > > > > > On Sunday, 9 October 2022 at 18:51:06 UTC+1, gnuarm.del....@gmail.com wrote:
> > > > > > > > > > On Sunday, October 9, 2022 at 12:50:32 PM UTC-4, jpit....@gmail.com wrote:
> > > > > > > > > > > On Sunday, 9 October 2022 at 09:18:58 UTC+1, Jurgen Pitaske wrote:
> > > > > > > > > > > > On Sunday, 9 October 2022 at 07:37:50 UTC+1, johnro....@gmail.com wrote:
> > > > > > > > > > > > > On Wednesday, January 12, 2022 at 3:35:17 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > > > On Wednesday, 12 January 2022 at 08:01:17 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > > > On Thursday, November 25, 2021 at 2:39:20 AM UTC-7, jpit...@gmail.com wrote:
> > > > > > > > > > > > > > > > On Thursday, 25 November 2021 at 07:06:47 UTC, johnro...@gmail.com wrote:
> > > > > > > > > > > > > > > > > \ Op Code File for MFX. Generated by MAKE-OPS v13
> > > > > > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> > > > > > > > > > > > > jrh
> > > > > > > > > > > > Looking forward to it.
> > > > > > > > > > > > Posting and distributing it in different places including facembbok should be easy.
> > > > > > > > > > > >
> > > > > > > > > > > > An idea crossed my mind:
> > > > > > > > > > > > Why not do a presentation during a FIG Zoom?
> > > > > > > > > > > > And this would help with where to post it.
> > > > > > > > > > > > http://www.forth.org/svfig/
> > > > > > > > > > > Just for people who might not know the context:
> > > > > > > > > > >
> > > > > > > > > > > Would it not be nice to use VHDL on an FPGA to write to it directly in Forth.
> > > > > > > > > > > See the link to Testra where it has been done already..
> > > > > > > > > > > http://testra.com/Forth/VHDL.htm
> > > > > > > > > > >
> > > > > > > > > > > And hopefully there is more soon from Testra posted here..
> > > > > > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > > > > > >
> > > > > > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > > > > > >
> > > > > > > > > > --
> > > > > > > > > >
> > > > > > > > > > Rick C.
> > > > > > > > > >
> > > > > > > > > > --+ Get 1,000 miles of free Supercharging
> > > > > > > > > > --+ Tesla referral code - https://ts.la/richard11209
> > > > > > > > > You are proving again that you attention span is zero - or is it your reading capability?
> > > > > > > > >
> > > > > > > > > Designing logic with the Forth VHDL
> > > > > > > > >
> > > > > > > > > 1. Write a software simulation of the design.
> > > > > > > > > 2. Test the design.
> > > > > > > > > 3. Convert the software simulation into a hardware definition.
> > > > > > > > > 4. Compile the hardware definition into logic equations.
> > > > > > > > > 5. Fit the logic equations into the device.
> > > > > > > > > 6. Verify that the logic equations work correctly.
> > > > > > > > > 7. Route the signals and assign the I/O pins.
> > > > > > > > > 8. Convert the routed design into a fusemap.
> > > > > > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth??? No, that doesn't fit the description of what is going on. In fact, your description doesn't seem to relate to VHDL at all.
> > > > > > > >
> > > > > > > > I can't tell what you are talking about from this description,
> > > > > > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > > > > > Maybe we are hitting a language barrier.
> > > > > > > >
> > > > > > > > --
> > > > > > > >
> > > > > > > > Rick C.
> > > > > > > >
> > > > > > > > -+- Get 1,000 miles of free Supercharging
> > > > > > > > -+- Tesla referral code - https://ts.la/richard11209
> > > > > > > The linfo at Testra clearly states:
> > > > > > >
> > > > > > > Using Forth as a VHDL ( Virtual Hardware Definition Language )
> > > > > > > John R. Hart, Testra Corporation
> > > > > > Ah, so he's bastardizing the term VHDL. That's normally used as the name of a language for programming digital logic (VHSIC Hardware Description Language).
> > > > > > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > > > > > Hugh Aguilar was involved there.
> > > > > > >
> > > > > > > And with all of the knowledge and experience here or elsewhere
> > > > > > > it could probably be ported to
> > > > > > > other FPGA families.
> > > > > > >
> > > > > > > You have all of the advantages of Forth,
> > > > > > > and no need for the overhead of FPGA tools as I understand.
> > > > > > It's not quite that simple. To target an FPGA device, you have to know the bitstream format. The vendors don't typically provide that information. Some people have reverse engineered a very few of the Lattice parts. I was not aware that the X02-7000 had been reverse engineered, but it's possible. Figuring out the bit map for other devices is not at all simple. It's tons of work.
> > > > > > > I am surprised this has not been of interest for the last many years,
> > > > > > > as the info on the Testra website was always there.
> > > > > > Open source tools have been of interest and exist. But not for many parts, for the reasons I've explained.
> > > > > > > But now we hopefully get the opportunity to see a full example.
> > > > > > "Full example"???
> > > > > >
> > > > > > It's a tool of little value in the FPGA world. Homebrew amateurs may find it interesting, but the FPGA world will simply yawn.
> > > > > >
> > > > > > I don't know how accurate your list of steps in using the tool is, but it sounds to me like a lot more work than the use of typical FPGA tools. Here's the steps required for designing FPGAs.
> > > > > >
> > > > > > 1. Write the design in VHDL or Verilog
> > > > > > 2. Write a test bench for the simulation stimulus and error checking.
> > > > > > 3. Simulate the design using conventional simulators.
> > > > > > 4. Synthesize the design for the target chip.
> > > > > > 5. Test on the target board.
> > > > > >
> > > > > > Done. The only "writing" of code is the design and the test bench for simulation. Everything else is a working tool.
> > > > > >
> > > > > > --
> > > > > >
> > > > > > Rick C.
> > > > > >
> > > > > > -++ Get 1,000 miles of free Supercharging
> > > > > > -++ Tesla referral code - https://ts.la/richard11209
> > > > > I am sorry, but your post does not make sense to me.
> > > > >
> > > > > Testra use this setup for many years in their products
> > > > > and it must make sense there,
> > > > > otherwise they would just use the standard Lattice tools.
> > > > >
> > > > > If it is not relevant to you - fine - I never asked you.
> > > > > Just like asking an experienced C programmer - why not Forth ...
> > > > LOL Yes, if one guy working in a corner of the room is using it, then it must be not only good, but GREAT!
> > > >
> > > > The millions of users of VHDL and Verilog must have it wrong.
> > > >
> > > > One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.
> > > >
> > > > In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use.
> > > >
> > > > Thank you for the information.
> > > >
> > > > --
> > > >
> > > > Rick C.
> > > >
> > > > +-- Get 1,000 miles of free Supercharging
> > > > +-- Tesla referral code - https://ts.la/richard11209
> > > It seems there are others working in the corner that are interested in this subject,
> > > see about 46.00 onwards, e.g. gelforth
> > > https://www.youtube.com/watch?v=ASgBoKisWac
> > "Others"??? You mean "other", I think.
> >
> > Trying to use Forth as the language to compose logic is solving a problem that doesn't exist. We have Verilog and VHDL as the mainstream languages for logic hardware design and they work well. There are efforts to use C for hardware logic design, which is seldom used. The main justification for using C is to have a common language for hardware and software, so the dividing line is easily moved, allowing one system design to be implemented in different ways with different performance levels. In reality, this is not at all simple to do. Hardware and software require interfaces. When you change the dividing line in the code for that interface and you have to redesign everything about that interface. So it is seldom used this way.
> >
> > I stand by my statement that using Forth for both software and hardware design is of little value. The fact that you found one guy, who, six years ago, worked on using Forth as a hardware description language, does not mean it is a good idea. If that were true, why has nothing happened with it in the last six years?
> >
> > People like to think that applying Forth to a problem, will make that problem simple to solve. Digital hardware logic design is not a simple task, not because of the tools used. The tools are complicated because the problem is complicated. The existing tools have simplified the work by hiding the complexity as much as possible. This works 99.9% of the time, allowing work to proceed with reasonable speed and accuracy. I can't see where Forth is going to help this at all.
> >
> > --
> >
> > Rick C.
> >
> > +-+ Get 1,000 miles of free Supercharging
> > +-+ Tesla referral code - https://ts.la/richard11209
> Well, there seems to be only "ONE PERSON" who does not like this.
> As there are 2 or three people who see it as interesting,
> your importance with your comments is going down to a third.
> AND:
> The number of your posts does NOT increase the importance or value.
> And there might be a Forth Person anyway ...
I respect Rick C's opinions on the art of FPGA design. IMHO his comments are incisive and reality-oriented. I'm pretty sure he has experience in the matter.


Click here to read the complete article
Re: FPGA4th

<08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20706&group=comp.lang.forth#20706

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:ac8:5c51:0:b0:39c:c813:249 with SMTP id j17-20020ac85c51000000b0039cc8130249mr6169603qtj.57.1665951755449;
Sun, 16 Oct 2022 13:22:35 -0700 (PDT)
X-Received: by 2002:a81:1bcc:0:b0:35b:8faf:9bbd with SMTP id
b195-20020a811bcc000000b0035b8faf9bbdmr6508711ywb.166.1665951755172; Sun, 16
Oct 2022 13:22:35 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 16 Oct 2022 13:22:34 -0700 (PDT)
In-Reply-To: <a73e757f-904d-459a-be62-d86737d7f43bn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=75.237.64.89; posting-account=_dwjNwoAAAA4hFltKxWAwHSTsQHdOMuM
NNTP-Posting-Host: 75.237.64.89
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
<a73e757f-904d-459a-be62-d86737d7f43bn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>
Subject: Re: FPGA4th
From: johnroge...@gmail.com (John Hart)
Injection-Date: Sun, 16 Oct 2022 20:22:35 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 9217
 by: John Hart - Sun, 16 Oct 2022 20:22 UTC

\ Op Code File for MFX. Generated by MAKE-OPS v13
<clip>
> > > > > > > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
<clip>
> > > > > > > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > > > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done
> > > > > > > > > > > many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > > > > > > > Rick C.

Verilog, NOT VHDL. I found it to be too verbose.
And if you have a trivial solution to computer optimization, please post it!

(edited for clarity)
> > > > > > > > > > Designing logic with the Forth VHDL
> > > > > > > > > > 1. Write a software simulation of the design. (Forth with local varables and a MAP extension)
> > > > > > > > > > 2. Test the design. (test program, simple compiler and simulator written in Forth)
> > > > > > > > > > 3. Convert the simulation into a hardware definition. (ED-MGEN, ED-CTRL, ED-DFRW, ED-DCDM, ED-DSEL)
> > > > > > > > > > 4. Link instructions to modules. (EDIT-TRAN)
> > > > > > > > > > 5. Compile the hardware definition into logic equations.. (GEN-MAP, CTRL-MAP & DFRW-MAP programs}
> > > > > > > > > > 6. Verify the logic equations work correctly. (EDIT-DISP, SIM-R32)
> > > > > > > > > > 7. Assign the I/O pins. (ED-PINS, able to parse the csv pin file and combine it with the schematic foot print)
> > > > > > > > > > 8. Fit the logic equations into the device. (Diamond from Lattice Semi does this, and there are universal ones)
> > > > > > > > > > 9. Convert the routed design into a fusemap. (Diamond)
> > > > > > > > > > 10. Compile the OS using the OP code definition file. (MAKE-OPS)
<clip>
> > > > > > > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth???
> > > > > > > > > No, that doesn't fit the description of what is going on.
> > > > > > > > > In fact, your description doesn't seem to relate to VHDL at all.
> > > > > > > > > I can't tell what you are talking about from this description,
> > > > > > > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > > > > > > > Rick C.
Our first CNC product had three 8032 processors. One for motion, One to process files, and one for I/O.
We replaced the motion and file processors with the RACE, (Reduced Architecture Computation Engine)
in our new controller realased in 2000. The RACE and 4 motor controllers fit into a 1048 CPLD from Lattice.
In 2016 we moved the design to an X02-7000 and eliminated the 8032.
The design wasn't optomized for a LUT based part and the OS was getting too large for the address space
so it was obvious we needed to update the design.

Using verilog tools and IP express, provided by Diamond, it took about two years to move our IP to the X02,
I've designed a wide variety of IP from servo system to networks and developed tools along to way to
assist in making such devices. For example the software from Lattice used for the RACE could only
achieve 80% ultization, I devised a tool that allowed us to achieve 100%,

Designing and debuging the software for rapid processor evolution was more difficult than anticipated, as
usual, but necessary for a reconfigurable product that could be used by small business having to compete
with large corporate monopolies that have gained control of the regulatory bodies and are using their
power to crush competion!
> > > > > > > Ah, so he's bastardizing the term VHDL. (there's a little bit of Hugh in everyone)
> > > > > > > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > > > > > > Hugh Aguilar was involved there.
> > > > > > > > And with all of the knowledge and experience here or elsewhere
> > > > > > > > it could probably be ported to
> > > > > > > > other FPGA families.
<clip>
> > > > > One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.
> > > > >
> > > > > In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use..
> > > > >
<clip>
> > > Trying to use Forth as the language to compose logic is solving a problem that doesn't exist. We have Verilog and VHDL as the mainstream languages for logic hardware design and they work well. There are efforts to use C for hardware logic design, which is seldom used. The main justification for using C is to have a common language for hardware and software, so the dividing line is easily moved, allowing one system design to be implemented in different ways with different performance levels. In reality, this is not at all simple to do. Hardware and software require interfaces. When you change the dividing line in the code for that interface and you have to redesign everything about that interface. So it is seldom used this way.
> > >
> > > I stand by my statement that using Forth for both software and hardware design is of little value. The fact that you found one guy, who, six years ago, worked on using Forth as a hardware description language, does not mean it is a good idea. If that were true, why has nothing happened with it in the last six years?
> > >
> > > People like to think that applying Forth to a problem, will make that problem simple to solve. Digital hardware logic design is not a simple task, not because of the tools used. The tools are complicated because the problem is complicated. The existing tools have simplified the work by hiding the complexity as much as possible. This works 99.9% of the time, allowing work to proceed with reasonable speed and accuracy. I can't see where Forth is going to help this at all.

> > > Rick C.
Each to their own. I've spent too much time on this, got to get back to work.

> Verilog already describes *the desired behaviour of any number of parallel processes, clocked or flow-through*.
>I don't see a need for an exotic "Forth" front end (to generate what?).

I don't know. You tell me.

Re: FPGA4th

<c1720c64-6805-4bf0-978a-953661455ad7n@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20712&group=comp.lang.forth#20712

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a37:9144:0:b0:6cf:5dc3:417c with SMTP id t65-20020a379144000000b006cf5dc3417cmr5731528qkd.213.1665966751634;
Sun, 16 Oct 2022 17:32:31 -0700 (PDT)
X-Received: by 2002:a25:da08:0:b0:6be:34b9:55e with SMTP id
n8-20020a25da08000000b006be34b9055emr7051599ybf.308.1665966751410; Sun, 16
Oct 2022 17:32:31 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 16 Oct 2022 17:32:31 -0700 (PDT)
In-Reply-To: <08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=65.207.89.54; posting-account=I-_H_woAAAA9zzro6crtEpUAyIvzd19b
NNTP-Posting-Host: 65.207.89.54
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
<a73e757f-904d-459a-be62-d86737d7f43bn@googlegroups.com> <08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <c1720c64-6805-4bf0-978a-953661455ad7n@googlegroups.com>
Subject: Re: FPGA4th
From: gnuarm.d...@gmail.com (Lorem Ipsum)
Injection-Date: Mon, 17 Oct 2022 00:32:31 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 11087
 by: Lorem Ipsum - Mon, 17 Oct 2022 00:32 UTC

On Sunday, October 16, 2022 at 4:22:36 PM UTC-4, johnro...@gmail.com wrote:
> \ Op Code File for MFX. Generated by MAKE-OPS v13
> <clip>
> > > > > > > > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> <clip>
> > > > > > > > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > > > > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done
> > > > > > > > > > > > many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > > > > > > > > Rick C.
>
> Verilog, NOT VHDL. I found it to be too verbose.

If you think Verilog is verbose, don't even bother trying VHDL. Perhaps is it not you, but someone in the thread is using VHDL to stand for something other than its traditional meaning of VHSIC Hardware Description Language. This gets to be very confusing.

> And if you have a trivial solution to computer optimization, please post it!

Not sure what you are referring to.

> (edited for clarity)
> > > > > > > > > > > Designing logic with the Forth VHDL
> > > > > > > > > > > 1. Write a software simulation of the design. (Forth with local varables and a MAP extension)
> > > > > > > > > > > 2. Test the design. (test program, simple compiler and simulator written in Forth)
> > > > > > > > > > > 3. Convert the simulation into a hardware definition. (ED-MGEN, ED-CTRL, ED-DFRW, ED-DCDM, ED-DSEL)
> > > > > > > > > > > 4. Link instructions to modules. (EDIT-TRAN)
> > > > > > > > > > > 5. Compile the hardware definition into logic equations. (GEN-MAP, CTRL-MAP & DFRW-MAP programs}
> > > > > > > > > > > 6. Verify the logic equations work correctly. (EDIT-DISP, SIM-R32)
> > > > > > > > > > > 7. Assign the I/O pins. (ED-PINS, able to parse the csv pin file and combine it with the schematic foot print)
> > > > > > > > > > > 8. Fit the logic equations into the device. (Diamond from Lattice Semi does this, and there are universal ones)
> > > > > > > > > > > 9. Convert the routed design into a fusemap. (Diamond)
> > > > > > > > > > > 10. Compile the OS using the OP code definition file. (MAKE-OPS)
> <clip>
> > > > > > > > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth???
> > > > > > > > > > No, that doesn't fit the description of what is going on.
> > > > > > > > > > In fact, your description doesn't seem to relate to VHDL at all.
> > > > > > > > > > I can't tell what you are talking about from this description,
> > > > > > > > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > > > > > > > > Rick C.
> Our first CNC product had three 8032 processors. One for motion, One to process files, and one for I/O.
> We replaced the motion and file processors with the RACE, (Reduced Architecture Computation Engine)
> in our new controller realased in 2000. The RACE and 4 motor controllers fit into a 1048 CPLD from Lattice.
> In 2016 we moved the design to an X02-7000 and eliminated the 8032.
> The design wasn't optomized for a LUT based part and the OS was getting too large for the address space
> so it was obvious we needed to update the design.
>
> Using verilog tools and IP express, provided by Diamond, it took about two years to move our IP to the X02,
> I've designed a wide variety of IP from servo system to networks and developed tools along to way to
> assist in making such devices. For example the software from Lattice used for the RACE could only
> achieve 80% ultization, I devised a tool that allowed us to achieve 100%,

Silicon is relatively inexpensive, these days. A project has to be very, very high volume to justify such an effort of optimization. I'm currently working on a redesign because of component optimization and I'm happy with 100% overkill on a new part, because the chip cost is only $5 each. I could use a $3 part, but it is 4 kLUT and the previous design was using 90% of a 3 kLUT part. Since it is a change of not just family, but brand, I don't look forward to spending excessive time shoehorning a design into a device. That makes alterations in the design prohibitively expensive as well.

Still, with a volume of perhaps 50,000 pieces, I might go with the smaller chip as long as I can share the footprint with the larger part.

> Designing and debuging the software for rapid processor evolution was more difficult than anticipated, as
> usual, but necessary for a reconfigurable product that could be used by small business having to compete
> with large corporate monopolies that have gained control of the regulatory bodies and are using their
> power to crush competion!
>
> > > > > > > > Ah, so he's bastardizing the term VHDL. (there's a little bit of Hugh in everyone)
> > > > > > > > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > > > > > > > Hugh Aguilar was involved there.
> > > > > > > > > And with all of the knowledge and experience here or elsewhere
> > > > > > > > > it could probably be ported to
> > > > > > > > > other FPGA families.
> <clip>
> > > > > > One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.
> > > > > >
> > > > > > In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use.
> > > > > >
> <clip>
> > > > Trying to use Forth as the language to compose logic is solving a problem that doesn't exist. We have Verilog and VHDL as the mainstream languages for logic hardware design and they work well. There are efforts to use C for hardware logic design, which is seldom used. The main justification for using C is to have a common language for hardware and software, so the dividing line is easily moved, allowing one system design to be implemented in different ways with different performance levels. In reality, this is not at all simple to do. Hardware and software require interfaces. When you change the dividing line in the code for that interface and you have to redesign everything about that interface. So it is seldom used this way.
> > > >
> > > > I stand by my statement that using Forth for both software and hardware design is of little value. The fact that you found one guy, who, six years ago, worked on using Forth as a hardware description language, does not mean it is a good idea. If that were true, why has nothing happened with it in the last six years?
> > > >
> > > > People like to think that applying Forth to a problem, will make that problem simple to solve. Digital hardware logic design is not a simple task, not because of the tools used. The tools are complicated because the problem is complicated. The existing tools have simplified the work by hiding the complexity as much as possible. This works 99.9% of the time, allowing work to proceed with reasonable speed and accuracy. I can't see where Forth is going to help this at all.
> > > > Rick C.
> Each to their own. I've spent too much time on this, got to get back to work.
> > Verilog already describes *the desired behaviour of any number of parallel processes, clocked or flow-through*.
> >I don't see a need for an exotic "Forth" front end (to generate what?).
> I don't know. You tell me.

I haven't seen anything that would seem to be a Forth description of hardware in this thread, so I can't judge if it is better than one of the existing HDLs or not. But it would need to be pretty good to make it worth learning a new tool and then to try to get it to produce VHDL that commonly available tools can use optimally.


Click here to read the complete article
Re: FPGA4th

<1037ed9f-eb96-40e9-9633-813d95bf49efn@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20716&group=comp.lang.forth#20716

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a05:620a:b51:b0:6cf:68b2:d86e with SMTP id x17-20020a05620a0b5100b006cf68b2d86emr6693012qkg.176.1665988172328;
Sun, 16 Oct 2022 23:29:32 -0700 (PDT)
X-Received: by 2002:a0d:ef46:0:b0:35c:b6be:ac2a with SMTP id
y67-20020a0def46000000b0035cb6beac2amr7847716ywe.391.1665988171935; Sun, 16
Oct 2022 23:29:31 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 16 Oct 2022 23:29:31 -0700 (PDT)
In-Reply-To: <08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=85.210.152.131; posting-account=eAOrwQkAAABheFES5y-02sBOFdTlBRio
NNTP-Posting-Host: 85.210.152.131
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
<a73e757f-904d-459a-be62-d86737d7f43bn@googlegroups.com> <08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <1037ed9f-eb96-40e9-9633-813d95bf49efn@googlegroups.com>
Subject: Re: FPGA4th
From: jpita...@gmail.com (Jurgen Pitaske)
Injection-Date: Mon, 17 Oct 2022 06:29:32 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 11104
 by: Jurgen Pitaske - Mon, 17 Oct 2022 06:29 UTC

On Sunday, 16 October 2022 at 21:22:36 UTC+1, johnro...@gmail.com wrote:
> \ Op Code File for MFX. Generated by MAKE-OPS v13
> <clip>
> > > > > > > > > > > > > > > The Logic Compiler is working and the modules for the processor are done and tested. After the mem interface module is complete we'll be ready to put the design into the fpga. We're using a X02-7000 but the design will work in any equivalent part.
> <clip>
> > > > > > > > > > > > Sorry, I don't follow. What are you describing by, "use VHDL on an FPGA to write to it directly in Forth"?
> > > > > > > > > > > > If you are talking about a stack CPU, written in VHDL, running on an FPGA, that has been done
> > > > > > > > > > > > many, many times, some published, many not published. It would seem to be a trivial exercise.
> > > > > > > > > > > > Rick C.
>
> Verilog, NOT VHDL. I found it to be too verbose.
> And if you have a trivial solution to computer optimization, please post it!
>
> (edited for clarity)
> > > > > > > > > > > Designing logic with the Forth VHDL
> > > > > > > > > > > 1. Write a software simulation of the design. (Forth with local varables and a MAP extension)
> > > > > > > > > > > 2. Test the design. (test program, simple compiler and simulator written in Forth)
> > > > > > > > > > > 3. Convert the simulation into a hardware definition. (ED-MGEN, ED-CTRL, ED-DFRW, ED-DCDM, ED-DSEL)
> > > > > > > > > > > 4. Link instructions to modules. (EDIT-TRAN)
> > > > > > > > > > > 5. Compile the hardware definition into logic equations. (GEN-MAP, CTRL-MAP & DFRW-MAP programs}
> > > > > > > > > > > 6. Verify the logic equations work correctly. (EDIT-DISP, SIM-R32)
> > > > > > > > > > > 7. Assign the I/O pins. (ED-PINS, able to parse the csv pin file and combine it with the schematic foot print)
> > > > > > > > > > > 8. Fit the logic equations into the device. (Diamond from Lattice Semi does this, and there are universal ones)
> > > > > > > > > > > 9. Convert the routed design into a fusemap. (Diamond)
> > > > > > > > > > > 10. Compile the OS using the OP code definition file. (MAKE-OPS)
> <clip>
> > > > > > > > > > "Forth VHDL"??? You are talking about a VHDL synthesis tool written in Forth???
> > > > > > > > > > No, that doesn't fit the description of what is going on.
> > > > > > > > > > In fact, your description doesn't seem to relate to VHDL at all.
> > > > > > > > > > I can't tell what you are talking about from this description,
> > > > > > > > > > but it sounds like it is for CPLDs, rather than FPGAs.
> > > > > > > > > > Rick C.
> Our first CNC product had three 8032 processors. One for motion, One to process files, and one for I/O.
> We replaced the motion and file processors with the RACE, (Reduced Architecture Computation Engine)
> in our new controller realased in 2000. The RACE and 4 motor controllers fit into a 1048 CPLD from Lattice.
> In 2016 we moved the design to an X02-7000 and eliminated the 8032.
> The design wasn't optomized for a LUT based part and the OS was getting too large for the address space
> so it was obvious we needed to update the design.
>
> Using verilog tools and IP express, provided by Diamond, it took about two years to move our IP to the X02,
> I've designed a wide variety of IP from servo system to networks and developed tools along to way to
> assist in making such devices. For example the software from Lattice used for the RACE could only
> achieve 80% ultization, I devised a tool that allowed us to achieve 100%,
>
> Designing and debuging the software for rapid processor evolution was more difficult than anticipated, as
> usual, but necessary for a reconfigurable product that could be used by small business having to compete
> with large corporate monopolies that have gained control of the regulatory bodies and are using their
> power to crush competion!
>
> > > > > > > > Ah, so he's bastardizing the term VHDL. (there's a little bit of Hugh in everyone)
> > > > > > > > > They used it on a CPLD first, and on a Lattice FPGA 7k now.
> > > > > > > > > Hugh Aguilar was involved there.
> > > > > > > > > And with all of the knowledge and experience here or elsewhere
> > > > > > > > > it could probably be ported to
> > > > > > > > > other FPGA families.
> <clip>
> > > > > > One of my early jobs involved designing a microprogrammed DMA controller using an off the shelf sequencer chip. The other, similar designs in the company all used the same assembler tool. I added a few macros and opcodes, in order to give my design additional self-test capabilities. One of the managers said that was a bad idea because no one else would know how to use this "different" tool. I realized that there are many times when standardization is preferred over technical advantages, because technical advantages are of no use if they make the design hard to work with or modify.
> > > > > >
> > > > > > In this case, there is no real utility to this "special" design process and it actually appears to be much more complex and difficult to use.
> > > > > >
> <clip>
> > > > Trying to use Forth as the language to compose logic is solving a problem that doesn't exist. We have Verilog and VHDL as the mainstream languages for logic hardware design and they work well. There are efforts to use C for hardware logic design, which is seldom used. The main justification for using C is to have a common language for hardware and software, so the dividing line is easily moved, allowing one system design to be implemented in different ways with different performance levels. In reality, this is not at all simple to do. Hardware and software require interfaces. When you change the dividing line in the code for that interface and you have to redesign everything about that interface. So it is seldom used this way.
> > > >
> > > > I stand by my statement that using Forth for both software and hardware design is of little value. The fact that you found one guy, who, six years ago, worked on using Forth as a hardware description language, does not mean it is a good idea. If that were true, why has nothing happened with it in the last six years?
> > > >
> > > > People like to think that applying Forth to a problem, will make that problem simple to solve. Digital hardware logic design is not a simple task, not because of the tools used. The tools are complicated because the problem is complicated. The existing tools have simplified the work by hiding the complexity as much as possible. This works 99.9% of the time, allowing work to proceed with reasonable speed and accuracy. I can't see where Forth is going to help this at all.
> > > > Rick C.
> Each to their own. I've spent too much time on this, got to get back to work.
> > Verilog already describes *the desired behaviour of any number of parallel processes, clocked or flow-through*.
> >I don't see a need for an exotic "Forth" front end (to generate what?).
> I don't know. You tell me.

Thank you very much again for investing the time of your post.
It was a bit difficult to read on my screen, so I copied parts out and edited it into a PDF for easier reading.
So I can as well share it
The file Forth as VHDL v1 there
https://www.dropbox.com/sh/ah8umk0hgq1818s/AAC8nNEueZZcIYJ8uGP4F4wPa?dl=0

I find Rick's arrogance rather funny as he does not show competence anymore..
He admits he has not seen a design to look at
but critizises and kills it anyway.

His way of professional approach to design for his customers?
What a piece of BS.
If a new design approach is described, it cannot be finished, otherwise it would not ne new by definition.
Please tell us more, so people here ( except Rick obviously ) can better understand, appreciate or even replicate.

As you state you use parts of Diamond anyway - so you know this Lattice tool for your FPGAs,
but your approach must have the advantages you describe.

To avoid misunderstandings, you should probably say " Forth-to-Gates including some Diamond" - ForthHDL.
And HDL rather than VHDL.
I cannot understand Rick's obsession to get the VHSIC Hardware Description Language in again and again
https://en.wikipedia.org/wiki/VHDL
I wonder how many here would know what VHSIC means ...

Please show us more as you have time.


Click here to read the complete article
Re: FPGA4th

<8e697c77-2ede-4b2c-abaf-b71499fa722en@googlegroups.com>

  copy mid

https://www.novabbs.com/devel/article-flat.php?id=20717&group=comp.lang.forth#20717

  copy link   Newsgroups: comp.lang.forth
X-Received: by 2002:a0c:dd06:0:b0:4b1:a51c:e7d8 with SMTP id u6-20020a0cdd06000000b004b1a51ce7d8mr7395018qvk.11.1665989499743;
Sun, 16 Oct 2022 23:51:39 -0700 (PDT)
X-Received: by 2002:a81:494c:0:b0:361:52e4:dd66 with SMTP id
w73-20020a81494c000000b0036152e4dd66mr8213183ywa.70.1665989499491; Sun, 16
Oct 2022 23:51:39 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!feed1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.forth
Date: Sun, 16 Oct 2022 23:51:39 -0700 (PDT)
In-Reply-To: <08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=49.197.89.13; posting-account=WyLDIgoAAAAL9-lKxDxWp0Afty5A1XnH
NNTP-Posting-Host: 49.197.89.13
References: <4f7ef896-47e5-40a0-9e11-85156f006cben@googlegroups.com>
<75ae819b-6e7a-4aa3-b38c-1a4e507ced3cn@googlegroups.com> <1a464fd0-5bf1-423b-b1e7-3e69a1583859n@googlegroups.com>
<430c23fb-eafc-4c77-8af2-47b8046d07a5n@googlegroups.com> <990840c6-b5e9-4cf1-9efe-1579f2416a84n@googlegroups.com>
<9c3b4410-3892-480d-bb83-8e7ee0781ecbn@googlegroups.com> <bf4b358b-b552-4612-990f-881568cee5f8n@googlegroups.com>
<6b96fb46-c93f-44bc-8304-79f15ab39054n@googlegroups.com> <5bb33b61-50fc-4239-a898-155a40355171n@googlegroups.com>
<b9bea4b5-f959-4ffc-8739-e2871c815e59n@googlegroups.com> <c39f3402-836f-45d6-979a-821d048c46ban@googlegroups.com>
<6babb188-6413-4082-a78e-c0cf8bb383f2n@googlegroups.com> <e33ebe6f-37a6-4d77-83bd-734e7e2c9bbfn@googlegroups.com>
<5fcf07b6-104f-48a9-8b5a-9552f6c23e66n@googlegroups.com> <2b2521c6-13c1-4e7a-b8ad-a763ec43754cn@googlegroups.com>
<a6bcb59b-28f8-40b6-8c7c-6f4b807f7668n@googlegroups.com> <2f87bd04-8226-469d-aa18-9f35d3529d6fn@googlegroups.com>
<a73e757f-904d-459a-be62-d86737d7f43bn@googlegroups.com> <08437c48-ed29-4e31-bb08-2d2a69b2a044n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <8e697c77-2ede-4b2c-abaf-b71499fa722en@googlegroups.com>
Subject: Re: FPGA4th
From: waynemor...@gmail.com (Wayne morellini)
Injection-Date: Mon, 17 Oct 2022 06:51:39 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 5319
 by: Wayne morellini - Mon, 17 Oct 2022 06:51 UTC

On Monday, October 17, 2022 at 6:22:36 AM UTC+10, johnro...@gmail.com wrote:
> \ Op Code File for MFX. Generated by MAKE-OPS v13
...
> Each to their own. I've spent too much time on this, got to get back to work.
> > Verilog already describes *the desired behaviour of any number of parallel processes, clocked or flow-through*.
> >I don't see a need for an exotic "Forth" front end (to generate what?).
> I don't know. You tell me.

John. Wayne here. I can't really read what you have done, as I've had a covid induced toxoplasma neurological spread from low immune system. But I encourage you here, as it is always good to have people that can design something new and practical. These things may at first often not look so practical in the light of present standards, but time will tell. As I posted many years ago, I had a desire to make the first game system with a hardware description language extension, as a way to put it in performance competition at reduced price with major players. Of course, I heard the same objections. But, when you do a deal with the supplier to have a setup where you have software that allows description generation for the single type of fpga in the system, such objections do not apply. But, as a general fpga description language, this message s something fpga manufacturers can get behind. There was a big push before for such tools to take an C language program and convert to DSP, fpga and GPU etc, to distribute the functionality accross the computer environment. So, you are on the money there. A combination of a minimal CPU core and fpga is very dynamic, considering how little space such cpu can take up, and speed and low energy they can take up. So thinkers and solution finders welcome, for my part. It's much more exciting to hear how somebody conquered a new problem/solution then to listen to objections to trying to solve things in a new way. In the end such things can have unforseen/misunderstood benefits. Your practical success of the years, shows that the bulk of the work, from your intuition, was right from the beginning. Not trying to blow up your head or anything, but it is the principle of the inventive process that others do not get. Tesla, the great Japanese inventor )whoes name escapes me at the moment) etc got this, producing volumes of foundation in short periods I can't say the same for other popularised Business men, who were called "genius" for attaching their names to others work, who were really like the level skilled of lab assistants, able to practically build on others foundational work, but not able to really do very much foundational work themselves.

One day, we might get a developers think tank like forum up and running, and it would be good to have people such as yourself along, and some practical builders (please be warned though, we are thinking of inviting a certain member due to his passionate foresight). :)

We can all do more foundational work together than divided.

Thanks.

Pages:123456
server_pubkey.txt

rocksolid light 0.9.8
clearnet tor